OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_epoch_timer.vhd] - Blame information for rev 217

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 212 jshamlet
-- Copyright (c)2011, 2019, 2020 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24
-- VHDL Units :  o8_epoch_timer
25
-- Description:  Provides a 24-bit, 4uS resolution elapsed timer with
26
--            :   alarm and interrupt for the Open8 CPU.
27
--
28
-- Notes      :  Requires an externally provided uSec tick input - one clock
29
--            :   per microsecond.
30
--
31
-- Register Map:
32
-- Offset  Bitfield Description                        Read/Write
33
--   0x0   AAAAAAAA B0 of Buffered Setpoint (W) or Current Setpoint(R)
34
--   0x1   AAAAAAAA B1 of Buffered Setpoint (W) or Current Setpoint(R)
35
--   0x2   AAAAAAAA B2 of Buffered Setpoint (W) or Current Setpoint(R)
36
--   0x3   BA------ Status of buffer/alarm (1 = pending, 0 = current)
37
--                  A = Pending status (R)
38
--                  B = Alarm status (R)
39
--                  Note that any write will update the internal set point
40
--                  and clear the alarm
41
--   0x4   AAAAAAAA B0 of Current Epoch Time(RO)
42
--   0x5   AAAAAAAA B1 of Current Epoch Time(RO)
43
--   0x6   AAAAAAAA B2 of Current Epoch Time(RO)
44
--                  Note that any write to 0x04,0x05, or 0x06 will copy the
45
--                  current epoch time to a readable output buffer
46
--   0x7   -------- Epoch Time Latch/Clear Control Register
47 213 jshamlet
--                  Any write to 0x7 will clear/reset the all timer regs
48 212 jshamlet
--
49
-- Revision History
50
-- Author          Date     Change
51
------------------ -------- ---------------------------------------------------
52
-- Seth Henry      07/28/11 Design Start
53
-- Seth Henry      12/19/19 Renamed to "o8_epoch_timer" to fit "theme"
54 213 jshamlet
-- Seth Henry      04/10/20 Overhauled the register interface of the timer to
55
--                           make the interface more sensible to software.
56 212 jshamlet
 
57
library ieee;
58
use ieee.std_logic_1164.all;
59
  use ieee.std_logic_unsigned.all;
60
  use ieee.std_logic_arith.all;
61
  use ieee.std_logic_misc.all;
62
 
63
library work;
64
  use work.open8_pkg.all;
65
 
66
entity o8_epoch_timer is
67
generic(
68 217 jshamlet
  Reset_Level                : std_logic;
69
  Address                    : ADDRESS_TYPE
70 212 jshamlet
);
71
port(
72 217 jshamlet
  Clock                      : in  std_logic;
73
  Reset                      : in  std_logic;
74
  uSec_Tick                  : in  std_logic;
75 212 jshamlet
  --
76 217 jshamlet
  Bus_Address                : in  ADDRESS_TYPE;
77
  Wr_Enable                  : in  std_logic;
78
  Wr_Data                    : in  DATA_TYPE;
79
  Rd_Enable                  : in  std_logic;
80
  Rd_Data                    : out DATA_TYPE;
81
  Interrupt                  : out std_logic
82 212 jshamlet
);
83
end entity;
84
 
85
architecture behave of o8_epoch_timer is
86
 
87
  constant User_Addr         : std_logic_vector(15 downto 3)
88
                               := Address(15 downto 3);
89
  alias  Comp_Addr           is Bus_Address(15 downto 3);
90
  signal Addr_Match          : std_logic := '0';
91
 
92
  alias  Reg_Addr            is Bus_Address(2 downto 0);
93
  signal Reg_Addr_q          : std_logic_vector(2 downto 0) := (others => '0');
94
 
95
  signal Wr_En               : std_logic := '0';
96
  signal Wr_Data_q           : DATA_TYPE := x"00";
97
  signal Rd_En               : std_logic := '0';
98 170 jshamlet
 
99 212 jshamlet
  signal setpt_buffer        : std_logic_vector(23 downto 0) := (others => '0');
100
  alias  setpt_buffer_b0     is setpt_buffer(7 downto 0);
101
  alias  setpt_buffer_b1     is setpt_buffer(15 downto 8);
102
  alias  setpt_buffer_b2     is setpt_buffer(23 downto 16);
103
 
104
  signal epoch_buffer        : std_logic_vector(23 downto 0) := (others => '0');
105
  alias  epoch_buffer_b0     is epoch_buffer(7 downto 0);
106
  alias  epoch_buffer_b1     is epoch_buffer(15 downto 8);
107
  alias  epoch_buffer_b2     is epoch_buffer(23 downto 16);
108
  signal buffer_pending      : std_logic := '0';
109
  signal buffer_update       : std_logic := '0';
110
  signal timer_clear         : std_logic := '0';
111
 
112
  signal epoch_tmr           : std_logic_vector(25 downto 0) := (others => '0');
113
  alias  epoch_tmrcmp        is epoch_tmr(25 downto 2);
114
  signal epoch_setpt         : std_logic_vector(25 downto 0) := (others => '0');
115
  alias  epoch_setpt_b0      is epoch_setpt(7 downto 0);
116
  alias  epoch_setpt_b1      is epoch_setpt(15 downto 8);
117
  alias  epoch_setpt_b2      is epoch_setpt(23 downto 16);
118
  alias  epoch_setpt_u       is epoch_setpt(25 downto 2);
119
  alias  epoch_setpt_l       is epoch_setpt(1 downto 0);
120
  signal epoch_alarm         : std_logic := '0';
121
  signal epoch_alarm_q       : std_logic := '0';
122
 
123
begin
124
 
125
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
126
 
127
  io_reg: process( Clock, Reset )
128
  begin
129
    if( Reset = Reset_Level )then
130
      Wr_Data_q              <= (others => '0');
131
      Reg_Addr_q             <= (others => '0');
132
      Wr_En                  <= '0';
133
      Rd_En                  <= '0';
134
      Rd_Data                <= OPEN8_NULLBUS;
135
      setpt_buffer           <= (others => '0');
136
      epoch_buffer           <= (others => '0');
137
      buffer_pending         <= '0';
138
      buffer_update          <= '0';
139
      timer_clear            <= '0';
140
    elsif( rising_edge( Clock ) )then
141
 
142
      Reg_Addr_q             <= Reg_Addr;
143
      Wr_En                  <= Addr_Match and Wr_Enable;
144
      Wr_Data_q              <= Wr_Data;
145
 
146
      buffer_update          <= '0';
147
      timer_clear            <= '0';
148
      if( Wr_En = '1' )then
149
        case( Reg_Addr_q )is
150
          when "000" =>
151
            setpt_buffer_b0  <= Wr_Data_q;
152
            buffer_pending   <= '1';
153
 
154
          when "001" =>
155
            setpt_buffer_b1  <= Wr_Data_q;
156
            buffer_pending   <= '1';
157
 
158
          when "010" =>
159
            setpt_buffer_b2  <= Wr_Data_q;
160
            buffer_pending   <= '1';
161 170 jshamlet
 
162 212 jshamlet
          when "011" =>
163
            buffer_update    <= '1';
164
            buffer_pending   <= '0';
165 170 jshamlet
 
166 212 jshamlet
          when "100" | "101" | "110" =>
167
            epoch_buffer     <= epoch_tmrcmp;
168
 
169
          when "111" =>
170
            timer_clear      <= '1';
171
          when others => null;
172
        end case;
173
      end if;
174
 
175
      Rd_Data                <= OPEN8_NULLBUS;
176
      Rd_En                  <= Addr_Match and Rd_Enable;
177
      if( Rd_En = '1' )then
178
        case( Reg_Addr_q )is
179
          when "000" =>
180
            Rd_Data          <= epoch_setpt_b0;
181
          when "001" =>
182
            Rd_Data          <= epoch_setpt_b1;
183
          when "010" =>
184
            Rd_Data          <= epoch_setpt_b2;
185
          when "011" =>
186
            Rd_Data          <= epoch_alarm & buffer_pending & "000000";
187
          when "100" =>
188
            Rd_Data          <= epoch_buffer_b0(7 downto 0);
189
          when "101" =>
190
            Rd_Data          <= epoch_buffer_b1(15 downto 8);
191
          when "110" =>
192
            Rd_Data          <= epoch_buffer_b2(23 downto 16);
193
          when others => null;
194
        end case;
195
      end if;
196
    end if;
197
  end process;
198
 
199
  timer_proc: process( Clock, Reset )
200
  begin
201
    if( Reset = Reset_Level )then
202
      epoch_setpt            <= (others => '0');
203
      epoch_tmr              <= (others => '0');
204
      epoch_alarm            <= '0';
205
      epoch_alarm_q          <= '0';
206
      Interrupt              <= '0';
207
 
208
    elsif( rising_edge(Clock) )then
209
 
210
      epoch_tmr              <= epoch_tmr + uSec_Tick;
211
 
212
      -- Set and hold on alarm condition
213
      if( epoch_tmr > epoch_setpt and epoch_setpt > 0 )then
214
        epoch_alarm          <= '1';
215
      end if;
216
 
217
      if( buffer_update = '1' )then
218
        epoch_setpt_u        <= setpt_buffer;
219
                  -- Force the lower bits of the setpoint to "11" so that the offset is
220
              --  reduced to 1uS (reproducing the original behavior). Software should
221
                    --  always subtract 4uS (-1) from the desired time to compensate
222
        epoch_setpt_l        <= (others => or_reduce(setpt_buffer));
223
        epoch_alarm          <= '0';
224
      end if;
225
 
226
      if( timer_clear = '1' )then
227
        epoch_setpt          <= (others => '0');
228
        epoch_tmr            <= (others => '0');
229
        epoch_alarm          <= '0';
230 209 jshamlet
      end if;
231 212 jshamlet
 
232
      epoch_alarm_q          <= epoch_alarm;
233
      -- Fire on rising edge of epoch_alarm
234
      Interrupt              <= epoch_alarm and not epoch_alarm_q;
235
 
236
    end if;
237
  end process;
238
 
239
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.