OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_gpio.vhd] - Blame information for rev 194

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2013, 2020 Jeremy Seth Henry
2 167 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 167 jshamlet
--
24
-- VHDL Units :  o8_gpio
25
-- Description:  Provides a single 8-bit GPIO register
26
 
27
library ieee;
28
use ieee.std_logic_1164.all;
29
 
30
library work;
31
  use work.open8_pkg.all;
32
 
33
entity o8_gpio is
34
generic(
35
  Default_Out           : DATA_TYPE := x"00";
36
  Default_En            : DATA_TYPE := x"00";
37
  Reset_Level           : std_logic := '1';
38
  Input_Only            : boolean := false;
39
  Address               : ADDRESS_TYPE
40
);
41
port(
42
  Clock                 : in  std_logic;
43
  Reset                 : in  std_logic;
44
  --
45
  Bus_Address           : in  ADDRESS_TYPE;
46
  Wr_Enable             : in  std_logic;
47
  Wr_Data               : in  DATA_TYPE;
48
  Rd_Enable             : in  std_logic;
49
  Rd_Data               : out DATA_TYPE;
50
  --
51
  GPIO                  : inout DATA_TYPE
52
);
53
end entity;
54
 
55
architecture behave of o8_gpio is
56
 
57
  constant User_Addr    : std_logic_vector(15 downto 2)
58
                          := Address(15 downto 2);
59
  alias  Comp_Addr      is Bus_Address(15 downto 2);
60
  alias  Reg_Addr       is Bus_Address(1 downto 0);
61
  signal Reg_Sel        : std_logic_vector(1 downto 0);
62
  signal Addr_Match     : std_logic;
63
  signal Wr_En          : std_logic;
64
  signal Wr_Data_q      : DATA_TYPE;
65
  signal Rd_En          : std_logic;
66
 
67
  signal User_Out       : DATA_TYPE;
68
  signal User_En        : DATA_TYPE;
69
  signal User_In        : DATA_TYPE;
70
 
71
begin
72
 
73
  Addr_Match            <= '1' when Comp_Addr = User_Addr else '0';
74
 
75
  io_reg: process( Clock, Reset )
76
  begin
77
    if( Reset = Reset_Level )then
78
      Reg_Sel           <= "00";
79
      Rd_En             <= '0';
80 191 jshamlet
      Rd_Data           <= OPEN8_NULLBUS;
81 167 jshamlet
      if( not Input_Only )then
82
        Wr_En           <= '0';
83
        Wr_Data_q       <= x"00";
84
        User_Out        <= Default_Out;
85
        User_En         <= Default_En;
86
      end if;
87
    elsif( rising_edge( Clock ) )then
88
      Reg_Sel           <= Reg_Addr;
89
 
90
      if( not Input_Only )then
91
        Wr_En           <= Addr_Match and Wr_Enable;
92
        Wr_Data_q       <= Wr_Data;
93
        if( Wr_En = '1' )then
94
          case( Reg_Sel )is
95
            when "00" =>
96
              User_Out  <= Wr_Data_q;
97
            when "01" =>
98
              User_En   <= Wr_Data_q;
99
            when others => null;
100
          end case;
101
        end if;
102
      end if;
103
 
104
      User_In           <= GPIO;
105
 
106 191 jshamlet
      Rd_Data           <= OPEN8_NULLBUS;
107 167 jshamlet
      Rd_En             <= Addr_Match and Rd_Enable;
108
      if( Rd_En = '1' )then
109
        if( Input_Only )then
110
          Rd_Data       <= User_In;
111
        else
112
          case( Reg_Sel )is
113
            when "00" =>
114
              Rd_Data     <= User_Out;
115
            when "01" =>
116
              Rd_Data     <= User_En;
117
            when "10" =>
118
              Rd_Data     <= User_In;
119
            when others => null;
120
          end case;
121
        end if;
122
      end if;
123
    end if;
124
  end process;
125
 
126
Input_Only_is_True: if( Input_Only )generate
127
  GPIO                  <= (others => 'Z');
128
end generate;
129
 
130
Input_Only_is_False: if( not Input_Only )generate
131
 
132
  Output_Ctl_proc: process( User_Out, User_En )
133
  begin
134
    for i in 0 to 7 loop
135
      GPIO(i)           <= 'Z';
136
      if( User_En(i) = '1' )then
137
        GPIO(i)         <= User_Out(i);
138
      end if;
139
    end loop;
140
  end process;
141
 
142
end generate;
143
 
144
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.