OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_hd44780_8b.vhd] - Blame information for rev 217

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2013, 2020 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 213 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 194 jshamlet
--
24 175 jshamlet
-- VHDL Entity: o8_hd44780_8b
25
-- Description: Provides low-level access to a "standard" character LCD using
26
--               the ST/HD44780(U) control ASIC wired in full (8-bit) mode.
27 213 jshamlet
--              All low-level timing of the control signals are handled by
28
--               this module, allowing client firmware to use a simple
29
--               register interface to program the LCD panel.
30 175 jshamlet
--              Init routine initializes the display and displays a single
31
--               character to demonstrate correct function, then listens for
32
--               user data on its external interface.
33 213 jshamlet
--
34
-- Register Map
35
-- Address  Function
36
-- Offset  Bitfield Description                        Read/Write
37
-- 0x0     AAAAAAAA LCD Register Write                 (Write-only)
38
-- 0x1     AAAAAAAA LCD Data Write                     (Write-only)
39
-- 0x2     AAAAAAAA LCD Contrast                       (Read-Write)
40
-- 0x3     AAAAAAAA LCD Backlight                      (Read-Write)
41
--
42
--------------------------------------------------------------------------------
43
-- LCD Controller
44
--------------------------------------------------------------------------------
45
--
46
-- LCD Instruction Set
47
-- Instruction             RS  RW  D7  D6  D5  D4  D3  D2  D1  D0  Time
48
------------------------------------------------------------------------
49
-- Clear Display         | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | 1.52mS
50
-- Return Home           | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | x | 1.52mS
51
-- Entry Mode            | 0 | 0 | 0 | 0 | 0 | 0 | 0 | 1 | ID| S |   37uS
52
-- Display Pwr           | 0 | 0 | 0 | 0 | 0 | 0 | 1 | D | C | B |   37uS
53
-- Cursor/Display Shift  | 0 | 0 | 0 | 0 | 0 | 1 | SC| RL| x | x |   37uS
54
-- Function Set          | 0 | 0 | 0 | 0 | 1 | DL| N | F | x | x |   37uS
55
-- Set CGRAM Address     | 0 | 0 | 0 | 1 | A | A | A | A | A | A |   37uS
56
-- Set DDRAM Address     | 0 | 0 | 1 | A | A | A | A | A | A | A |   37uS
57 175 jshamlet
 
58 213 jshamlet
-- Notes:
59
-- ID = Increment/Decrement DDRAM Address (1 = increment, 0 = decrement)
60
-- S  = Shift Enable (1 = Shift display according to ID, 0 = Don't shift)
61
-- D  = Display On/Off (1 = on, 0 = off)
62
-- C  = Cursor On/Off  (1 = on, 0 = off)
63
-- B  = Cursor Blink   (1 = block cursor, 0 = underline cursor)
64
-- SC / RL = Shift Cursor/Display Right/Left (see data sheet - not needed for init)
65
-- F  = Font (0 = 5x8, 1 = 5x11) Ignored on 2-line displays (N = 1)
66
-- N  = Number of Lines (0 = 1 lines, 1 = 2 lines)
67
-- DL = Data Length (0 = 4-bit bus, 1 = 8-bit bus) This is fixed at 1 in this module
68
-- A  = Address (see data sheet for usage)
69
--
70
-- Revision History
71
-- Author          Date     Change
72
------------------ -------- ---------------------------------------------------
73
-- Seth Henry      01/22/13 Design Start
74
-- Seth Henry      04/10/20 Code & comment cleanup
75
 
76 175 jshamlet
library ieee;
77
use ieee.std_logic_1164.all;
78
use ieee.std_logic_unsigned.all;
79
use ieee.std_logic_arith.all;
80
 
81
library work;
82
use work.open8_pkg.all;
83
 
84
entity o8_hd44780_8b is
85
generic(
86
  Use_Contrast          : boolean;
87
  Default_Contrast      : std_logic_vector(7 downto 0);
88
  Use_Backlight         : boolean;
89
  Default_Brightness    : std_logic_vector(7 downto 0);
90
  Address               : ADDRESS_TYPE;
91
  Reset_Level           : std_logic;
92
  Sys_Freq              : real
93
);
94
port(
95
  Clock                 : in  std_logic;
96
  Reset                 : in  std_logic;
97
  uSec_Tick             : in  std_logic;
98
  --
99
  Bus_Address           : in  ADDRESS_TYPE;
100
  Wr_Enable             : in  std_logic;
101
  Wr_Data               : in  DATA_TYPE;
102
  Rd_Enable             : in  std_logic;
103
  Rd_Data               : out DATA_TYPE;
104
  Interrupt             : out std_logic;
105
  --
106
  LCD_E                 : out std_logic;
107
  LCD_RW                : out std_logic;
108
  LCD_RS                : out std_logic;
109
  LCD_D                 : out std_logic_vector(7 downto 0);
110
  LCD_CN                : out std_logic;
111
  LCD_BL                : out std_logic
112
);
113
end entity;
114
 
115
architecture behave of o8_hd44780_8b is
116
 
117 217 jshamlet
  constant User_Addr         : std_logic_vector(15 downto 2)
118
                               := Address(15 downto 2);
119
  alias  Comp_Addr           is Bus_Address(15 downto 2);
120
  signal Addr_Match          : std_logic := '0';
121 175 jshamlet
 
122 217 jshamlet
  alias  Reg_Addr             is Bus_Address(1 downto 0);
123
  signal Reg_Addr_q          : std_logic_vector(1 downto 0) := (others => '0');
124 175 jshamlet
 
125 217 jshamlet
  signal Wr_En               : std_logic := '0';
126
  signal Wr_Data_q           : DATA_TYPE := x"00";
127
  signal Rd_En               : std_logic := '0';
128 175 jshamlet
 
129 217 jshamlet
  signal Reg_Valid           : std_logic := '0';
130
  signal Reg_Sel             : std_logic := '0';
131
  signal Reg_Data            : DATA_TYPE := x"00";
132 175 jshamlet
 
133 217 jshamlet
  signal Tx_Ready            : std_logic := '0';
134 175 jshamlet
 
135 217 jshamlet
  constant LCD_CONFIG1       : std_logic_vector(7 downto 0) := x"38"; -- Set 4-bit, 2-line mode
136
  constant LCD_CONFIG2       : std_logic_vector(7 downto 0) := x"0C"; -- Turn display on, no cursor
137
  constant LCD_CONFIG3       : std_logic_vector(7 downto 0) := x"01"; -- Clear display
138
  constant LCD_CONFIG4       : std_logic_vector(7 downto 0) := x"06"; -- Positive increment, no shift
139
  constant LCD_CONFIG5       : std_logic_vector(7 downto 0) := x"2A"; -- Print a "*"
140
  constant LCD_CONFIG6       : std_logic_vector(7 downto 0) := x"02"; -- Reset the cursor
141 175 jshamlet
 
142 217 jshamlet
  signal init_count          : std_logic_vector(2 downto 0) := (others => '0');
143 175 jshamlet
 
144 217 jshamlet
  constant INIT_40MS         : integer := 40000;
145
  constant INIT_BITS         : integer := ceil_log2(INIT_40MS);
146
  constant INIT_DELAY        : std_logic_vector(INIT_BITS-1 downto 0) :=
147
                               conv_std_logic_vector(INIT_40MS,INIT_BITS);
148 175 jshamlet
 
149
-- For "long" instructions, such as clear display and return home, we need to wait for more
150
--  than 1.52mS. Experimentally, 2mS seems to work ideally, and for init this isn't an issue
151 217 jshamlet
  constant CLDSP_2MS         : integer := 2000;
152
  constant CLDSP_DELAY       : std_logic_vector(INIT_BITS-1 downto 0) :=
153
                               conv_std_logic_vector(CLDSP_2MS,INIT_BITS);
154 175 jshamlet
 
155
 -- For some reason, we are required to wait 80uS before checking the busy flag, despite
156
 --  most instructions completing in 37uS. No clue as to why, but it works
157 217 jshamlet
  constant BUSY_50US         : integer := 50;
158
  constant BUSY_DELAY        : std_logic_vector(INIT_BITS-1 downto 0) :=
159
                               conv_std_logic_vector(BUSY_50US-1, INIT_BITS);
160 175 jshamlet
 
161 217 jshamlet
  signal busy_timer          : std_logic_vector(INIT_BITS-1 downto 0) := (others => '0');
162 175 jshamlet
 
163 217 jshamlet
  constant SNH_600NS         : integer := integer(Sys_Freq * 0.000000600);
164
  constant SNH_BITS          : integer := ceil_log2(SNH_600NS);
165
  constant SNH_DELAY         : std_logic_vector(SNH_BITS-1 downto 0) :=
166
                               conv_std_logic_vector(SNH_600NS-1, SNH_BITS);
167 175 jshamlet
 
168 217 jshamlet
  signal io_timer            : std_logic_vector(SNH_BITS - 1 downto 0) := (others => '0');
169 175 jshamlet
 
170
  type IO_STATES is (INIT, FN_JUMP, IDLE,
171
                     WR_PREP, WR_SETUP, WR_HOLD,
172
                     BUSY_PREP, BUSY_WAIT,
173
                     ISSUE_INT );
174 217 jshamlet
  signal io_state            : IO_STATES;
175 175 jshamlet
 
176 217 jshamlet
  signal LCD_Data            : DATA_TYPE := x"00";
177
  signal LCD_Addr            : std_logic := '0';
178 175 jshamlet
 
179
--------------------------------------------------------------------------------
180
-- Backlight & Contrast signals
181
--------------------------------------------------------------------------------
182
 
183 217 jshamlet
  signal LCD_Contrast        : DATA_TYPE := x"00";
184
  signal LCD_Bright          : DATA_TYPE := x"00";
185 175 jshamlet
 
186
begin
187
 
188
--------------------------------------------------------------------------------
189
-- Open8 Register interface
190
--------------------------------------------------------------------------------
191
 
192 217 jshamlet
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
193 175 jshamlet
 
194
  io_reg: process( Clock, Reset )
195
  begin
196
    if( Reset = Reset_Level )then
197 217 jshamlet
      Reg_Addr_q             <= (others => '0');
198
      Wr_Data_q              <= (others => '0');
199
      Wr_En                  <= '0';
200
      Rd_En                  <= '0';
201
      Rd_Data                <= OPEN8_NULLBUS;
202 175 jshamlet
 
203 217 jshamlet
      Reg_Valid              <= '0';
204
      Reg_Sel                <= '0';
205
      Reg_Data               <= x"00";
206 175 jshamlet
 
207 217 jshamlet
      LCD_Contrast           <= Default_Contrast;
208
      LCD_Bright             <= Default_Brightness;
209 175 jshamlet
    elsif( rising_edge( Clock ) )then
210 217 jshamlet
      Reg_Addr_q             <= Reg_Addr;
211 175 jshamlet
 
212 217 jshamlet
      Wr_Data_q              <= Wr_Data;
213
      Wr_En                  <= Addr_Match and Wr_Enable;
214 175 jshamlet
 
215 217 jshamlet
      Reg_Valid              <= '0';
216 175 jshamlet
 
217
      if( Wr_En = '1' )then
218
        case( Reg_Addr_q )is
219
          when "00" | "01" =>
220 217 jshamlet
            Reg_Valid        <= '1';
221
            Reg_Sel          <= Reg_Addr_q(0);
222
            Reg_Data         <= Wr_Data_q;
223 175 jshamlet
          when "10" =>
224 217 jshamlet
            LCD_Contrast     <= Wr_Data_q;
225 175 jshamlet
          when "11" =>
226 217 jshamlet
            LCD_Bright       <= Wr_Data_q;
227 175 jshamlet
          when others => null;
228
        end case;
229
      end if;
230
 
231 217 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
232
      Rd_En                  <= Addr_Match and Rd_Enable;
233 175 jshamlet
      if( Rd_En = '1' )then
234
        case( Reg_Addr_q )is
235
          when "00" | "01" =>
236 217 jshamlet
            Rd_Data(7)       <= Tx_Ready;
237 175 jshamlet
          when "10" =>
238 217 jshamlet
            Rd_Data          <= LCD_Contrast;
239 175 jshamlet
          when "11" =>
240 217 jshamlet
            Rd_Data          <= LCD_Bright;
241 175 jshamlet
          when others => null;
242
        end case;
243
      end if;
244
    end if;
245
  end process;
246
 
247
--------------------------------------------------------------------------------
248
-- LCD and Register logic
249
--------------------------------------------------------------------------------
250
 
251 217 jshamlet
  LCD_RW                     <= '0'; -- Permanently wire the RW line low
252 175 jshamlet
 
253
  LCD_IO: process( Clock, Reset )
254
  begin
255
    if( Reset = Reset_Level )then
256 217 jshamlet
      io_state               <= INIT;
257
      init_count             <= (others => '0');
258
      io_timer               <= (others => '0');
259
      busy_timer             <= (others => '0');
260
      LCD_Data               <= (others => '0');
261
      LCD_Addr               <= '0';
262
      LCD_E                  <= '0';
263
      LCD_RS                 <= '0';
264
      LCD_D                  <= (others => '0');
265
      Tx_Ready               <= '0';
266
      Interrupt              <= '0';
267 175 jshamlet
    elsif( rising_edge(Clock) )then
268 217 jshamlet
      LCD_E                  <= '0';
269
      LCD_RS                 <= '0';
270
      LCD_D                  <= (others => '0');
271
      Tx_Ready               <= '0';
272
      Interrupt              <= '0';
273
      io_timer               <= io_timer - 1;
274
      busy_timer             <= busy_timer - uSec_Tick;
275 175 jshamlet
      case( io_state )is
276
 
277
        when INIT =>
278 217 jshamlet
          busy_timer         <= INIT_DELAY;
279
          init_count         <= (others => '1');
280
          io_state           <= BUSY_WAIT;
281 175 jshamlet
 
282
        when FN_JUMP =>
283 217 jshamlet
          io_state           <= WR_PREP;
284 175 jshamlet
          case( init_count )is
285
            when "000" =>
286 217 jshamlet
              io_state       <= IDLE;
287 175 jshamlet
            when "001" =>
288 217 jshamlet
              LCD_Addr       <= '0';
289
              LCD_Data       <= LCD_CONFIG6; -- Reset the Cursor
290 175 jshamlet
            when "010" =>
291 217 jshamlet
              LCD_Addr       <= '1';         -- Print a "*", and
292
              LCD_Data       <= LCD_CONFIG5; --  set RS to 1
293 175 jshamlet
            when "011" =>
294 217 jshamlet
              LCD_Data       <= LCD_CONFIG4; -- Entry mode
295 175 jshamlet
            when "100" =>
296 217 jshamlet
              LCD_Data       <= LCD_CONFIG3; -- Clear Display
297 175 jshamlet
            when "101" =>
298 217 jshamlet
              LCD_Data       <= LCD_CONFIG2; -- Display control
299 175 jshamlet
            when "110" | "111" =>
300 217 jshamlet
              LCD_Addr       <= '0';
301
              LCD_Data       <= LCD_CONFIG1; -- Function set
302 175 jshamlet
            when others => null;
303
          end case;
304
 
305
        when IDLE =>
306 217 jshamlet
          Tx_Ready           <= '1';
307 175 jshamlet
          if( Reg_Valid = '1' )then
308 217 jshamlet
            LCD_Addr         <= Reg_Sel;
309
            LCD_Data         <= Reg_Data;
310
            io_state         <= WR_PREP;
311 175 jshamlet
          end if;
312
 
313
        when WR_PREP =>
314 217 jshamlet
          io_timer           <= SNH_DELAY;
315
          io_state           <= WR_SETUP;
316 175 jshamlet
 
317
        when WR_SETUP =>
318 217 jshamlet
          LCD_RS             <= LCD_Addr;
319
          LCD_D              <= LCD_Data;
320
          LCD_E              <= '1';
321 175 jshamlet
          if( io_timer = 0 )then
322 217 jshamlet
            io_timer         <= SNH_DELAY;
323
            io_state         <= WR_HOLD;
324 175 jshamlet
          end if;
325
 
326
        when WR_HOLD =>
327 217 jshamlet
          LCD_RS             <= LCD_Addr;
328
          LCD_D              <= LCD_Data;
329 175 jshamlet
          if( io_timer = 0 )then
330 217 jshamlet
            LCD_E            <= '0';
331
            io_state         <= BUSY_PREP;
332 175 jshamlet
          end if;
333
 
334
        when BUSY_PREP =>
335 217 jshamlet
          busy_timer         <= BUSY_DELAY;
336 175 jshamlet
          if( LCD_Addr = '0' and LCD_Data < 4 )then
337 217 jshamlet
            busy_timer       <= CLDSP_DELAY;
338 175 jshamlet
          end if;
339 217 jshamlet
          io_state           <= BUSY_WAIT;
340 175 jshamlet
 
341
        when BUSY_WAIT =>
342
          if( busy_timer = 0 )then
343 217 jshamlet
            io_state         <= ISSUE_INT;
344 175 jshamlet
            if( init_count > 0 )then
345 217 jshamlet
              init_count     <= init_count - 1;
346
              io_state       <= FN_JUMP;
347 175 jshamlet
            end if;
348
          end if;
349
 
350
        when ISSUE_INT =>
351 217 jshamlet
          Interrupt          <= '1';
352
          io_state           <= IDLE;
353 175 jshamlet
 
354
        when others => null;
355
 
356
      end case;
357
 
358
    end if;
359
  end process;
360
 
361
--------------------------------------------------------------------------------
362
-- Contrast control logic (optional)
363
--------------------------------------------------------------------------------
364
 
365
Contrast_Disabled: if( not Use_Contrast )generate
366 217 jshamlet
  LCD_CN                     <= '0';
367 175 jshamlet
end generate;
368
 
369
Contrast_Enabled: if( Use_Contrast )generate
370
 
371 217 jshamlet
  U_CN : entity work.vdsm8
372
  generic map(
373
    Reset_Level              => Reset_Level
374
  )
375
  port map(
376
    Clock                    => Clock,
377
    Reset                    => Reset,
378
    DACin                    => LCD_Contrast,
379
    DACout                   => LCD_CN
380
  );
381 175 jshamlet
 
382
end generate;
383
 
384
--------------------------------------------------------------------------------
385
-- Backlight control logic (optional)
386
--------------------------------------------------------------------------------
387
 
388
Backlight_Disabled: if( not Use_Backlight )generate
389 217 jshamlet
  LCD_BL                     <= '0';
390 175 jshamlet
end generate;
391
 
392
Backlight_Enabled: if( Use_Backlight )generate
393
 
394 217 jshamlet
  U_BL : entity work.vdsm8
395
  generic map(
396
    Reset_Level              => Reset_Level
397
  )
398
  port map(
399
    Clock                    => Clock,
400
    Reset                    => Reset,
401
    DACin                    => LCD_Bright,
402
    DACout                   => LCD_BL
403
  );
404 175 jshamlet
 
405
end generate;
406
 
407
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.