OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_max7221.vhd] - Blame information for rev 191

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 191 jshamlet
library ieee;
2
use ieee.std_logic_1164.all;
3
use ieee.std_logic_unsigned.all;
4
use ieee.std_logic_arith.all;
5
use ieee.std_logic_misc.all;
6
 
7
library work;
8
  use work.open8_pkg.all;
9
 
10
entity o8_max7221 is
11
generic(
12
  Bit_Rate              : real := 5000000.0;
13
  Sys_Freq              : real;
14
  Reset_Level           : std_logic;
15
  Address               : ADDRESS_TYPE
16
);
17
port(
18
  Clock                 : in  std_logic;
19
  Reset                 : in  std_logic;
20
  --
21
  Bus_Address           : in  ADDRESS_TYPE;
22
  Wr_Enable             : in  std_logic;
23
  Wr_Data               : in  DATA_TYPE;
24
  --
25
  Mx_Data               : out std_logic;
26
  Mx_Clock              : out std_logic;
27
  MX_LDCSn              : out std_logic
28
);
29
end entity;
30
 
31
architecture behave of o8_max7221 is
32
 
33
  signal FIFO_Reset     : std_logic;
34
 
35
  constant User_Addr    : std_logic_vector(15 downto 4) := Address(15 downto 4);
36
  alias  Comp_Addr      is Bus_Address(15 downto 4);
37
 
38
  signal FIFO_Wr_En     : std_logic;
39
  signal FIFO_Wr_Data   : std_logic_vector(11 downto 0);
40
 
41
  signal FIFO_Rd_En     : std_logic;
42
  signal FIFO_Empty     : std_logic;
43
  signal FIFO_Rd_Data   : std_logic_vector(11 downto 0);
44
 
45
  type TX_CTRL_STATES is (IDLE, TX_BYTE, TX_START, TX_WAIT );
46
  signal TX_Ctrl        : TX_CTRL_STATES;
47
 
48
  signal TX_En          : std_logic;
49
  signal TX_Idle        : std_logic;
50
 
51
  constant BAUD_DLY_VAL : integer := integer((Sys_Freq / Bit_Rate) / 2.0 );
52
  constant BAUD_DLY_WDT : integer := ceil_log2(BAUD_DLY_VAL - 1);
53
  constant BAUD_DLY     : std_logic_vector :=
54
                           conv_std_logic_vector(BAUD_DLY_VAL - 1, BAUD_DLY_WDT);
55
 
56
  signal Baud_Cntr      : std_logic_vector( BAUD_DLY_WDT - 1 downto 0 )
57
                          := (others => '0');
58
  signal Baud_Tick      : std_logic;
59
 
60
  type IO_STATES is ( IDLE, SYNC_CLK, SCLK_L, SCLK_H, ADV_BIT, DONE );
61
  signal io_state       : IO_STATES;
62
  signal bit_cntr       : std_logic_vector(3 downto 0);
63
  signal tx_buffer      : std_logic_vector(15 downto 0);
64
 
65
begin
66
 
67
  FIFO_Wr_En            <= Wr_Enable when Comp_Addr = User_Addr else '0';
68
  FIFO_Wr_Data          <= Bus_Address(3 downto 0) & Wr_Data;
69
  FIFO_Reset            <= Reset when Reset_Level = '1' else (not Reset);
70
 
71
  U_FIFO : entity work.o8_max7221_fifo
72
  port map(
73
    aclr                => FIFO_Reset,
74
    clock               => Clock,
75
    data                => FIFO_Wr_Data,
76
    rdreq               => FIFO_Rd_En,
77
    wrreq               => FIFO_Wr_En,
78
    empty               => FIFO_Empty,
79
    q                   => FIFO_Rd_Data
80
  );
81
 
82
  tx_FSM: process( Clock, Reset )
83
  begin
84
    if( Reset = Reset_Level )then
85
      TX_Ctrl          <= IDLE;
86
      TX_En            <= '0';
87
      FIFO_Rd_En       <= '0';
88
    elsif( rising_edge(Clock) )then
89
      TX_En            <= '0';
90
      FIFO_Rd_En       <= '0';
91
 
92
      case( TX_Ctrl )is
93
        when IDLE =>
94
          if( FIFO_Empty = '0' )then
95
            FIFO_Rd_En  <= '1';
96
            TX_Ctrl     <= TX_BYTE;
97
          end if;
98
 
99
        when TX_BYTE =>
100
          TX_En         <= '1';
101
          TX_Ctrl       <= TX_START;
102
 
103
        when TX_START =>
104
          if( TX_Idle = '0' )then
105
            TX_Ctrl     <= TX_WAIT;
106
          end if;
107
 
108
        when TX_WAIT =>
109
          if( TX_Idle = '1' )then
110
            TX_Ctrl     <= IDLE;
111
          end if;
112
 
113
        when others => null;
114
      end case;
115
 
116
    end if;
117
  end process;
118
 
119
  Baud_Rate_proc: process( Clock, Reset )
120
  begin
121
    if( Reset = Reset_Level )then
122
      Baud_Cntr         <= (others => '0');
123
      Baud_Tick         <= '0';
124
    elsif( rising_edge( Clock ) )then
125
      Baud_Cntr         <= Baud_Cntr - 1;
126
      Baud_Tick         <= nor_reduce(Baud_Cntr);
127
      if( Baud_Cntr = 0 )then
128
        Baud_Cntr       <= BAUD_DLY;
129
      end if;
130
    end if;
131
  end process;
132
 
133
  io_FSM: process( Clock, Reset )
134
  begin
135
    if( Reset = Reset_Level )then
136
      io_state          <= IDLE;
137
      bit_cntr          <= (others => '0');
138
      tx_buffer         <= (others => '0');
139
      TX_Idle           <= '0';
140
 
141
      Mx_Clock          <= '0';
142
      Mx_Data           <= '0';
143
      MX_LDCSn          <= '0';
144
 
145
    elsif( rising_edge(Clock) )then
146
 
147
      TX_Idle           <= '0';
148
      Mx_Clock          <= '0';
149
 
150
      case( io_state )is
151
        when IDLE =>
152
          Mx_Data       <= '0';
153
          MX_LDCSn      <= '1';
154
          TX_Idle       <= '1';
155
          if( TX_En = '1' )then
156
            tx_buffer   <= "0000" & FIFO_Rd_Data;
157
            bit_cntr    <= (others => '1');
158
            io_state    <= SYNC_CLK;
159
          end if;
160
 
161
        when SYNC_CLK =>
162
          if( Baud_Tick = '1' )then
163
            io_state    <= SCLK_L;
164
          end if;
165
 
166
        when SCLK_L =>
167
          MX_LDCSn      <= '0';
168
          Mx_Data       <= tx_buffer(conv_integer(bit_cntr));
169
          if( Baud_Tick = '1' )then
170
            io_state    <= SCLK_H;
171
          end if;
172
 
173
        when SCLK_H =>
174
          Mx_Clock      <= '1';
175
          if( Baud_Tick = '1' )then
176
            bit_cntr    <= bit_cntr - 1;
177
            io_state    <= ADV_BIT;
178
          end if;
179
 
180
        when ADV_BIT =>
181
          io_state      <= SCLK_L;
182
          if( and_reduce(bit_cntr) = '1' )then
183
            io_state    <= DONE;
184
          end if;
185
 
186
        when DONE =>
187
          Mx_Data       <= '0';
188
          if( Baud_Tick = '1' )then
189
            io_state    <= IDLE;
190
          end if;
191
 
192
        when others => null;
193
      end case;
194
    end if;
195
  end process;
196
 
197
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.