OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_register.vhd] - Blame information for rev 224

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2006, 2016, 2019, 2020 Jeremy Seth Henry
2 180 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 180 jshamlet
--
24
-- VHDL Units :  o8_register
25 213 jshamlet
-- Description:  Provides a single addressible 8-bit output register
26 180 jshamlet
--
27
-- Register Map:
28
-- Offset  Bitfield Description                        Read/Write
29
--   0x00  AAAAAAAA Registered Outputs                    (RW)
30
--
31
-- Revision History
32
-- Author          Date     Change
33
------------------ -------- ---------------------------------------------------
34
-- Seth Henry      12/20/19 Design Start
35 224 jshamlet
-- Seth Henry      04/16/20 Modified to use Open8 bus record
36 180 jshamlet
 
37
library ieee;
38
  use ieee.std_logic_1164.all;
39
  use ieee.std_logic_unsigned.all;
40
  use ieee.std_logic_arith.all;
41 191 jshamlet
  use ieee.std_logic_misc.all;
42 180 jshamlet
 
43
library work;
44
  use work.open8_pkg.all;
45
 
46
entity o8_register is
47
generic(
48 217 jshamlet
  Default_Value              : DATA_TYPE := x"00";
49
  Address                    : ADDRESS_TYPE
50 180 jshamlet
);
51
port(
52 223 jshamlet
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
53 217 jshamlet
  Rd_Data                    : out DATA_TYPE;
54 180 jshamlet
  --
55 217 jshamlet
  Register_Out               : out DATA_TYPE
56 180 jshamlet
);
57
end entity;
58
 
59
architecture behave of o8_register is
60
 
61 224 jshamlet
  alias Clock                is Open8_Bus.Clock;
62
  alias Reset                is Open8_Bus.Reset;
63
 
64 217 jshamlet
  constant User_Addr         : std_logic_vector(15 downto 0)
65
                               := Address(15 downto 0);
66 223 jshamlet
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 0);
67 217 jshamlet
  signal Addr_Match          : std_logic;
68
  signal Wr_En               : std_logic;
69
  signal Wr_Data_q           : DATA_TYPE;
70
  signal Reg_Out             : DATA_TYPE;
71
  signal Rd_En               : std_logic;
72 180 jshamlet
 
73
begin
74
 
75 217 jshamlet
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
76 180 jshamlet
 
77
  io_reg: process( Clock, Reset )
78
  begin
79
    if( Reset = Reset_Level )then
80 217 jshamlet
      Wr_En                  <= '0';
81 221 jshamlet
      Wr_Data_q              <= x"00";
82 217 jshamlet
      Reg_Out                <= Default_Value;
83
      Rd_En                  <= '0';
84
      Rd_Data                <= OPEN8_NULLBUS;
85 180 jshamlet
    elsif( rising_edge( Clock ) )then
86 223 jshamlet
      Wr_En                  <= Addr_Match and Open8_Bus.Wr_En;
87
      Wr_Data_q              <= Open8_Bus.Wr_Data;
88 180 jshamlet
      if( Wr_En = '1' )then
89 217 jshamlet
        Reg_Out              <= Wr_Data_q;
90 180 jshamlet
      end if;
91
 
92 217 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
93 223 jshamlet
      Rd_En                  <= Addr_Match and Open8_Bus.Rd_En;
94 180 jshamlet
      if( Rd_En = '1' )then
95 217 jshamlet
        Rd_Data              <= Reg_Out;
96 180 jshamlet
      end if;
97
    end if;
98
  end process;
99
 
100 217 jshamlet
  Register_Out               <= Reg_Out;
101 180 jshamlet
 
102
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.