OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_sys_timer.vhd] - Blame information for rev 211

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2006, 2016, 2019, 2020 Jeremy Seth Henry
2 167 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 167 jshamlet
--
24 184 jshamlet
-- VHDL Units :  o8_sys_timer
25 167 jshamlet
-- Description:  Provides an 8-bit microsecond resolution timer for generating
26
--            :   periodic interrupts for the Open8 CPU.
27
--
28
-- Notes      :  It is possible to set the value to zero, resulting in the
29
--            :   output staying high indefinitely. This may cause an issue if
30
--            :   the output is connected to an interrupt input.
31
--            :  Also provides uSec_Tick as an output
32 180 jshamlet
--
33
-- Revision History
34
-- Author          Date     Change
35
------------------ -------- ---------------------------------------------------
36
-- Seth Henry      07/28/11 Design Start
37
-- Seth Henry      12/19/19 Renamed Tmr_Out to Interrupt
38 210 jshamlet
-- Seth Henry      04/09/20 Modified timer update logic to reset the timer on
39
--                           interval write.
40 167 jshamlet
 
41
library ieee;
42
use ieee.std_logic_1164.all;
43
  use ieee.std_logic_unsigned.all;
44
  use ieee.std_logic_arith.all;
45
  use ieee.std_logic_misc.all;
46
 
47
library work;
48
  use work.open8_pkg.all;
49
 
50 184 jshamlet
entity o8_sys_timer is
51 167 jshamlet
generic(
52 210 jshamlet
  Sys_Freq                   : real;
53
  Reset_Level                : std_logic;
54
  Address                    : ADDRESS_TYPE
55 167 jshamlet
);
56
port(
57 210 jshamlet
  Clock                      : in  std_logic;
58
  Reset                      : in  std_logic;
59
  uSec_Tick                  : out std_logic;
60 167 jshamlet
  --
61 210 jshamlet
  Bus_Address                : in  ADDRESS_TYPE;
62
  Wr_Enable                  : in  std_logic;
63
  Wr_Data                    : in  DATA_TYPE;
64
  Rd_Enable                  : in  std_logic;
65
  Rd_Data                    : out DATA_TYPE;
66
  Interrupt                  : out std_logic
67 167 jshamlet
);
68
end entity;
69
 
70 184 jshamlet
architecture behave of o8_sys_timer is
71 167 jshamlet
 
72 210 jshamlet
  constant User_Addr         : ADDRESS_TYPE := Address;
73
  alias  Comp_Addr           is Bus_Address(15 downto 0);
74
  signal Addr_Match          : std_logic := '0';
75
  signal Wr_En               : std_logic := '0';
76
  signal Wr_Data_q           : DATA_TYPE := OPEN8_NULLBUS;
77
  signal Rd_En               : std_logic := '0';
78
  signal Rd_En_q             : std_logic := '0';
79 167 jshamlet
 
80 210 jshamlet
  signal Interval            : DATA_TYPE := x"00";
81
  signal Update_Interval     : std_logic;
82
  signal Timer_Cnt           : DATA_TYPE := x"00";
83 167 jshamlet
 
84 210 jshamlet
  constant DLY_1USEC_VAL     : integer := integer(Sys_Freq / 1000000.0);
85
  constant DLY_1USEC_WDT     : integer := ceil_log2(DLY_1USEC_VAL - 1);
86
  constant DLY_1USEC         : std_logic_vector :=
87 180 jshamlet
                      conv_std_logic_vector(DLY_1USEC_VAL - 1, DLY_1USEC_WDT);
88 167 jshamlet
 
89 210 jshamlet
  signal uSec_Cntr           : std_logic_vector( DLY_1USEC_WDT - 1 downto 0 )
90
                               := (others => '0');
91
  signal uSec_Tick_i         : std_logic := '0';
92 167 jshamlet
begin
93
 
94 210 jshamlet
  uSec_Tick                  <= uSec_Tick_i;
95
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
96 167 jshamlet
 
97
  io_reg: process( Clock, Reset )
98
  begin
99
    if( Reset = Reset_Level )then
100 210 jshamlet
      Wr_En                  <= '0';
101
      Wr_Data_q              <= x"00";
102
      Rd_En                  <= '0';
103
      Rd_Data                <= OPEN8_NULLBUS;
104
      Interval               <= x"00";
105
      Update_Interval        <= '0';
106 167 jshamlet
    elsif( rising_edge( Clock ) )then
107 210 jshamlet
      Wr_En                  <= Addr_Match and Wr_Enable;
108
      Wr_Data_q              <= Wr_Data;
109
      Update_Interval        <= '0';
110 167 jshamlet
      if( Wr_En = '1' )then
111 211 jshamlet
        Interval             <= Wr_Data_q;
112 210 jshamlet
        Update_Interval      <= '1';
113 167 jshamlet
      end if;
114
 
115 210 jshamlet
      Rd_Data                <= (others => '0');
116
      Rd_En                  <= Addr_Match and Rd_Enable;
117 167 jshamlet
      if( Rd_En = '1' )then
118 210 jshamlet
        Rd_Data              <= Interval;
119 167 jshamlet
      end if;
120
    end if;
121
  end process;
122
 
123
  uSec_Tick_i_proc: process( Clock, Reset )
124
  begin
125
    if( Reset = Reset_Level )then
126 210 jshamlet
      uSec_Cntr              <= (others => '0');
127
      uSec_Tick_i            <= '0';
128 167 jshamlet
    elsif( rising_edge( Clock ) )then
129 210 jshamlet
      uSec_Cntr              <= uSec_Cntr - 1;
130
      uSec_Tick_i            <= '0';
131 167 jshamlet
      if( uSec_Cntr = 0 )then
132 210 jshamlet
        uSec_Cntr            <= DLY_1USEC;
133
        uSec_Tick_i          <= '1';
134 167 jshamlet
      end if;
135
    end if;
136
  end process;
137
 
138
  Interval_proc: process( Clock, Reset )
139
  begin
140
    if( Reset = Reset_Level )then
141 210 jshamlet
      Timer_Cnt              <= x"00";
142
      Interrupt              <= '0';
143 167 jshamlet
    elsif( rising_edge(Clock) )then
144 210 jshamlet
      Interrupt              <= '0';
145
      Timer_Cnt              <= Timer_Cnt - uSec_Tick_i;
146 211 jshamlet
      if( Update_Interval = '1' )then
147 210 jshamlet
        Timer_Cnt            <= Interval;
148 211 jshamlet
      elsif( or_reduce(Timer_Cnt) = '0' )then
149
        Timer_Cnt            <= Interval;
150 210 jshamlet
        Interrupt            <= or_reduce(Interval); -- Only trigger on Int > 0
151 167 jshamlet
      end if;
152
    end if;
153
  end process;
154
 
155
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.