OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_sys_timer.vhd] - Blame information for rev 224

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2006, 2016, 2019, 2020 Jeremy Seth Henry
2 167 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 167 jshamlet
--
24 184 jshamlet
-- VHDL Units :  o8_sys_timer
25 167 jshamlet
-- Description:  Provides an 8-bit microsecond resolution timer for generating
26
--            :   periodic interrupts for the Open8 CPU.
27
--
28
-- Notes      :  It is possible to set the value to zero, resulting in the
29
--            :   output staying high indefinitely. This may cause an issue if
30
--            :   the output is connected to an interrupt input.
31
--            :  Also provides uSec_Tick as an output
32 180 jshamlet
--
33
-- Revision History
34
-- Author          Date     Change
35
------------------ -------- ---------------------------------------------------
36
-- Seth Henry      07/28/11 Design Start
37
-- Seth Henry      12/19/19 Renamed Tmr_Out to Interrupt
38 210 jshamlet
-- Seth Henry      04/09/20 Modified timer update logic to reset the timer on
39
--                           interval write.
40 224 jshamlet
-- Seth Henry      04/16/20 Modified to use Open8 bus record
41 167 jshamlet
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
  use ieee.std_logic_unsigned.all;
45
  use ieee.std_logic_arith.all;
46
  use ieee.std_logic_misc.all;
47
 
48
library work;
49
  use work.open8_pkg.all;
50
 
51 184 jshamlet
entity o8_sys_timer is
52 167 jshamlet
generic(
53 210 jshamlet
  Address                    : ADDRESS_TYPE
54 167 jshamlet
);
55
port(
56 223 jshamlet
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
57 210 jshamlet
  Rd_Data                    : out DATA_TYPE;
58
  Interrupt                  : out std_logic
59 167 jshamlet
);
60
end entity;
61
 
62 184 jshamlet
architecture behave of o8_sys_timer is
63 167 jshamlet
 
64 224 jshamlet
  alias Clock                is Open8_Bus.Clock;
65
  alias Reset                is Open8_Bus.Reset;
66
  alias uSec_Tick            is Open8_Bus.uSec_Tick;
67
 
68 210 jshamlet
  constant User_Addr         : ADDRESS_TYPE := Address;
69 223 jshamlet
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 0);
70 210 jshamlet
  signal Addr_Match          : std_logic := '0';
71
  signal Wr_En               : std_logic := '0';
72 223 jshamlet
  signal Wr_Data_q           : DATA_TYPE := x"00";
73 210 jshamlet
  signal Rd_En               : std_logic := '0';
74
  signal Rd_En_q             : std_logic := '0';
75 167 jshamlet
 
76 210 jshamlet
  signal Interval            : DATA_TYPE := x"00";
77
  signal Update_Interval     : std_logic;
78
  signal Timer_Cnt           : DATA_TYPE := x"00";
79 167 jshamlet
 
80
begin
81
 
82 210 jshamlet
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
83 167 jshamlet
 
84
  io_reg: process( Clock, Reset )
85
  begin
86
    if( Reset = Reset_Level )then
87 210 jshamlet
      Wr_En                  <= '0';
88
      Wr_Data_q              <= x"00";
89
      Rd_En                  <= '0';
90
      Rd_Data                <= OPEN8_NULLBUS;
91
      Interval               <= x"00";
92
      Update_Interval        <= '0';
93 167 jshamlet
    elsif( rising_edge( Clock ) )then
94 223 jshamlet
      Wr_En                  <= Addr_Match and Open8_Bus.Wr_En;
95
      Wr_Data_q              <= Open8_Bus.Wr_Data;
96 210 jshamlet
      Update_Interval        <= '0';
97 167 jshamlet
      if( Wr_En = '1' )then
98 211 jshamlet
        Interval             <= Wr_Data_q;
99 210 jshamlet
        Update_Interval      <= '1';
100 167 jshamlet
      end if;
101
 
102 210 jshamlet
      Rd_Data                <= (others => '0');
103 223 jshamlet
      Rd_En                  <= Addr_Match and Open8_Bus.Rd_En;
104 167 jshamlet
      if( Rd_En = '1' )then
105 210 jshamlet
        Rd_Data              <= Interval;
106 167 jshamlet
      end if;
107
    end if;
108
  end process;
109
 
110
  Interval_proc: process( Clock, Reset )
111
  begin
112
    if( Reset = Reset_Level )then
113 210 jshamlet
      Timer_Cnt              <= x"00";
114
      Interrupt              <= '0';
115 167 jshamlet
    elsif( rising_edge(Clock) )then
116 210 jshamlet
      Interrupt              <= '0';
117 224 jshamlet
      Timer_Cnt              <= Timer_Cnt - uSec_Tick;
118 211 jshamlet
      if( Update_Interval = '1' )then
119 210 jshamlet
        Timer_Cnt            <= Interval;
120 211 jshamlet
      elsif( or_reduce(Timer_Cnt) = '0' )then
121
        Timer_Cnt            <= Interval;
122 210 jshamlet
        Interrupt            <= or_reduce(Interval); -- Only trigger on Int > 0
123 167 jshamlet
      end if;
124
    end if;
125
  end process;
126
 
127
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.