OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm12.vhd] - Blame information for rev 188

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 180 jshamlet
-- Copyright (c)2019 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
22
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24
-- VHDL Units :  o8_vdsm12
25
-- Description:  12-bit variable delta-sigma modulator. Requires Open8_pkg.vhd
26
--
27
-- Register Map:
28
-- Offset  Bitfield Description                        Read/Write
29
--   0x0   AAAAAAAA Pending DAC Level (7:0)            (R/W)
30
--   0x1   AAAAAAAA Pending DAC Level (11:8)           (R/W)
31
--   0x2   -------- Clear DAC Output (on write)        (WO)
32
--   0x3   AAAAAAAA Update DAC Output (on write)       (RO)
33
--
34
-- Revision History
35
-- Author          Date     Change
36
------------------ -------- ---------------------------------------------------
37
-- Seth Henry      12/18/19 Design start
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
use ieee.std_logic_unsigned.all;
42
use ieee.std_logic_arith.all;
43
 
44
library work;
45
  use work.open8_pkg.all;
46
 
47
entity o8_vdsm12 is
48
generic(
49
  Reset_Level           : std_logic := '1';
50
  Address               : ADDRESS_TYPE
51
);
52
port(
53
  Clock                 : in  std_logic;
54
  Reset                 : in  std_logic;
55
  --
56
  Bus_Address           : in  ADDRESS_TYPE;
57
  Wr_Enable             : in  std_logic;
58
  Wr_Data               : in  DATA_TYPE;
59
  Rd_Enable             : in  std_logic;
60
  Rd_Data               : out DATA_TYPE;
61
  --
62
  PDM_Out               : out std_logic
63
);
64
end entity;
65
 
66
architecture behave of o8_vdsm12 is
67
 
68
  function ceil_log2 (x : in natural) return natural is
69
    variable retval     : natural;
70
  begin
71
    retval              := 1;
72
    while ((2**retval) - 1) < x loop
73
      retval            := retval + 1;
74
    end loop;
75
    return retval;
76
  end function;
77
 
78
  constant User_Addr    : std_logic_vector(15 downto 2)
79
                          := Address(15 downto 2);
80
  alias  Comp_Addr      is Bus_Address(15 downto 2);
81
  alias  Reg_Addr       is Bus_Address(1 downto 0);
82
  signal Reg_Sel        : std_logic_vector(1 downto 0);
83
  signal Addr_Match     : std_logic;
84
  signal Wr_En          : std_logic;
85
  signal Wr_Data_q      : DATA_TYPE;
86
  signal Rd_En          : std_logic;
87
 
88
  constant DAC_Width    : integer := 12;
89
 
90
  signal DAC_Val_LB     : DATA_TYPE;
91
  signal DAC_Val_UB     : DATA_TYPE;
92
  signal DAC_Val        : std_logic_vector(DAC_Width-1 downto 0);
93
 
94
  constant DELTA_1_I    : integer := 1;
95
  constant DELTA_2_I    : integer := 5;
96
  constant DELTA_3_I    : integer := 25;
97
  constant DELTA_4_I    : integer := 75;
98
  constant DELTA_5_I    : integer := 125;
99
  constant DELTA_6_I    : integer := 250;
100
  constant DELTA_7_I    : integer := 500;
101
  constant DELTA_8_I    : integer := 1000;
102
  constant DELTA_9_I    : integer := 2000;
103
  constant DELTA_10_I   : integer := 3000;
104
 
105
  constant DELTA_1      : std_logic_vector(DAC_Width-1 downto 0) :=
106
                           conv_std_logic_vector(DELTA_1_I, DAC_Width);
107
  constant DELTA_2      : std_logic_vector(DAC_Width-1 downto 0) :=
108
                           conv_std_logic_vector(DELTA_2_I, DAC_Width);
109
  constant DELTA_3      : std_logic_vector(DAC_Width-1 downto 0) :=
110
                           conv_std_logic_vector(DELTA_3_I, DAC_Width);
111
  constant DELTA_4      : std_logic_vector(DAC_Width-1 downto 0) :=
112
                           conv_std_logic_vector(DELTA_4_I, DAC_Width);
113
  constant DELTA_5      : std_logic_vector(DAC_Width-1 downto 0) :=
114
                           conv_std_logic_vector(DELTA_5_I, DAC_Width);
115
  constant DELTA_6      : std_logic_vector(DAC_Width-1 downto 0) :=
116
                           conv_std_logic_vector(DELTA_6_I, DAC_Width);
117
  constant DELTA_7      : std_logic_vector(DAC_Width-1 downto 0) :=
118
                           conv_std_logic_vector(DELTA_7_I, DAC_Width);
119
  constant DELTA_8      : std_logic_vector(DAC_Width-1 downto 0) :=
120
                           conv_std_logic_vector(DELTA_8_I, DAC_Width);
121
  constant DELTA_9      : std_logic_vector(DAC_Width-1 downto 0) :=
122
                           conv_std_logic_vector(DELTA_9_I, DAC_Width);
123
  constant DELTA_10     : std_logic_vector(DAC_Width-1 downto 0) :=
124
                           conv_std_logic_vector(DELTA_10_I, DAC_Width);
125
 
126
  constant MAX_PERIOD   : integer := 2**DAC_Width;
127
  constant DIV_WIDTH    : integer := DAC_Width * 2;
128
 
129
  constant PADJ_1_I     : integer := DELTA_1_I * MAX_PERIOD;
130
  constant PADJ_2_I     : integer := DELTA_2_I * MAX_PERIOD;
131
  constant PADJ_3_I     : integer := DELTA_3_I * MAX_PERIOD;
132
  constant PADJ_4_I     : integer := DELTA_4_I * MAX_PERIOD;
133
  constant PADJ_5_I     : integer := DELTA_5_I * MAX_PERIOD;
134
  constant PADJ_6_I     : integer := DELTA_6_I * MAX_PERIOD;
135
  constant PADJ_7_I     : integer := DELTA_7_I * MAX_PERIOD;
136
  constant PADJ_8_I     : integer := DELTA_8_I * MAX_PERIOD;
137
  constant PADJ_9_I     : integer := DELTA_9_I * MAX_PERIOD;
138
  constant PADJ_10_I    : integer := DELTA_10_I * MAX_PERIOD;
139
 
140
  constant PADJ_1       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
141
                           conv_std_logic_vector(PADJ_1_I,DIV_WIDTH);
142
  constant PADJ_2       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
143
                           conv_std_logic_vector(PADJ_2_I,DIV_WIDTH);
144
  constant PADJ_3       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
145
                           conv_std_logic_vector(PADJ_3_I,DIV_WIDTH);
146
  constant PADJ_4       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
147
                           conv_std_logic_vector(PADJ_4_I,DIV_WIDTH);
148
  constant PADJ_5       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
149
                           conv_std_logic_vector(PADJ_5_I,DIV_WIDTH);
150
  constant PADJ_6       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
151
                           conv_std_logic_vector(PADJ_6_I,DIV_WIDTH);
152
  constant PADJ_7       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
153
                           conv_std_logic_vector(PADJ_7_I,DIV_WIDTH);
154
  constant PADJ_8       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
155
                           conv_std_logic_vector(PADJ_8_I,DIV_WIDTH);
156
  constant PADJ_9       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
157
                           conv_std_logic_vector(PADJ_9_I,DIV_WIDTH);
158
  constant PADJ_10      : std_logic_vector(DIV_WIDTH-1 downto 0) :=
159
                           conv_std_logic_vector(PADJ_10_I,DIV_WIDTH);
160
 
161
  signal DACin_q        : std_logic_vector(DAC_Width-1 downto 0);
162
 
163
  signal Divisor        : std_logic_vector(DIV_WIDTH-1 downto 0);
164
  signal Dividend       : std_logic_vector(DIV_WIDTH-1 downto 0);
165
 
166
  signal q              : std_logic_vector(DIV_WIDTH*2-1 downto 0);
167
  signal diff           : std_logic_vector(DIV_WIDTH downto 0);
168
 
169
  constant CB           : integer := ceil_log2(DIV_WIDTH);
170
  signal count          : std_logic_vector(CB-1 downto 0);
171
 
172
  signal Next_Width     : std_logic_vector(DAC_Width-1 downto 0);
173
  signal Next_Period    : std_logic_vector(DAC_Width-1 downto 0);
174
 
175
  signal PWM_Width      : std_logic_vector(DAC_Width-1 downto 0);
176
  signal PWM_Period     : std_logic_vector(DAC_Width-1 downto 0);
177
 
178
  signal Width_Ctr      : std_logic_vector(DAC_Width-1 downto 0);
179
  signal Period_Ctr     : std_logic_vector(DAC_Width-1 downto 0);
180
 
181
 
182
begin
183
 
184
  Addr_Match            <= '1' when Comp_Addr = User_Addr else '0';
185
 
186
  io_reg: process( Clock, Reset )
187
  begin
188
    if( Reset = Reset_Level )then
189
      Reg_Sel           <= "00";
190
      Rd_En             <= '0';
191
      Rd_Data           <= x"00";
192
      Wr_En             <= '0';
193
      Wr_Data_q         <= x"00";
194
      DAC_Val_LB        <= x"00";
195
      DAC_Val_UB        <= x"00";
196
      DAC_Val           <= (others => '0');
197
    elsif( rising_edge( Clock ) )then
198
      Reg_Sel           <= Reg_Addr;
199
 
200
      Wr_En             <= Addr_Match and Wr_Enable;
201
      Wr_Data_q         <= Wr_Data;
202
      if( Wr_En = '1' )then
203
        case( Reg_Sel )is
204
          when "00" =>
205
            DAC_Val_LB  <= Wr_Data_q;
206
          when "01" =>
207
            DAC_Val_UB  <= "0000" & Wr_Data_q(3 downto 0);
208
          when "10" =>
209
            DAC_Val     <= (others => '0');
210
          when "11" =>
211
            DAC_Val     <= DAC_Val_UB(3 downto 0) & DAC_Val_LB;
212
          when others => null;
213
        end case;
214
      end if;
215
 
216
      Rd_Data           <= (others => '0');
217
      Rd_En             <= Addr_Match and Rd_Enable;
218
      if( Rd_En = '1' )then
219
        case( Reg_Sel )is
220
          when "00" =>
221
            Rd_Data     <= DAC_Val_LB;
222
          when "01" =>
223
            Rd_Data     <= DAC_Val_UB;
224
          when others => null;
225
        end case;
226
      end if;
227
    end if;
228
  end process;
229
 
230
  diff                  <= ('0' & q(DIV_WIDTH*2-2 downto DIV_WIDTH-1)) -
231
                           ('0' & Divisor);
232
 
233
  Dividend   <= PADJ_2  when DACin_q >= DELTA_2_I and DACin_q < DELTA_3_I else
234
                PADJ_3  when DACin_q >= DELTA_3_I and DACin_q < DELTA_4_I else
235
                PADJ_4  when DACin_q >= DELTA_4_I and DACin_q < DELTA_5_I else
236
                PADJ_5  when DACin_q >= DELTA_5_I and DACin_q < DELTA_6_I else
237
                PADJ_6  when DACin_q >= DELTA_6_I and DACin_q < DELTA_7_I else
238
                PADJ_7  when DACin_q >= DELTA_7_I and DACin_q < DELTA_8_I else
239
                PADJ_8  when DACin_q >= DELTA_8_I and DACin_q < DELTA_9_I else
240
                PADJ_9  when DACin_q >= DELTA_9_I and DACin_q < DELTA_10_I else
241
                PADJ_10 when DACin_q >= DELTA_10_I else
242
                PADJ_1;
243
 
244
  Next_Width <= DELTA_1  when DACin_q >= DELTA_1_I and DACin_q < DELTA_2_I else
245
                DELTA_2  when DACin_q >= DELTA_2_I and DACin_q < DELTA_3_I else
246
                DELTA_3  when DACin_q >= DELTA_3_I and DACin_q < DELTA_4_I else
247
                DELTA_4  when DACin_q >= DELTA_4_I and DACin_q < DELTA_5_I else
248
                DELTA_5  when DACin_q >= DELTA_5_I and DACin_q < DELTA_6_I else
249
                DELTA_6  when DACin_q >= DELTA_6_I and DACin_q < DELTA_7_I else
250
                DELTA_7  when DACin_q >= DELTA_7_I and DACin_q < DELTA_8_I else
251
                DELTA_8  when DACin_q >= DELTA_8_I and DACin_q < DELTA_9_I else
252
                DELTA_9  when DACin_q >= DELTA_9_I and DACin_q < DELTA_10_I else
253
                DELTA_10 when DACin_q >= DELTA_10_I else
254
                (others => '0');
255
 
256
  Next_Period            <= q(DAC_Width-1 downto 0) - 1;
257
 
258
  vDSM_proc: process( Clock, Reset )
259
  begin
260
    if( Reset = Reset_Level )then
261
      q                 <= (others => '0');
262
      count             <= (others => '1');
263
      Divisor           <= (others => '0');
264
      DACin_q           <= (others => '0');
265
      PWM_Width         <= (others => '0');
266
      PWM_Period        <= (others => '0');
267
      Period_Ctr        <= (others => '0');
268
      Width_Ctr         <= (others => '0');
269
      PDM_Out           <= '0';
270
    elsif( rising_edge(Clock) )then
271
      q                 <= diff(DIV_WIDTH-1 downto 0) &
272
                           q(DIV_WIDTH-2 downto 0) & '1';
273
      if( diff(DIV_WIDTH) = '1' )then
274
        q               <= q(DIV_WIDTH*2-2 downto 0) & '0';
275
      end if;
276
 
277
      count             <= count + 1;
278
      if( count = DIV_WIDTH )then
279
        PWM_Width       <= Next_Width;
280
        PWM_Period      <= Next_Period;
281
        DACin_q         <= DAC_val;
282
        Divisor         <= (others => '0');
283
        Divisor(DAC_Width-1 downto 0) <= DACin_q;
284
        q               <= conv_std_logic_vector(0,DIV_WIDTH) & Dividend;
285
        count           <= (others => '0');
286
      end if;
287
 
288
      Period_Ctr        <= Period_Ctr - 1;
289
      Width_Ctr         <= Width_Ctr - 1;
290
 
291
      PDM_Out           <= '1';
292
      if( Width_Ctr = 0 )then
293
        PDM_Out         <= '0';
294
        Width_Ctr       <= (others => '0');
295
      end if;
296
 
297
      if( Period_Ctr = 0 )then
298
        Period_Ctr      <= PWM_Period;
299
        Width_Ctr       <= PWM_Width;
300
      end if;
301
 
302
    end if;
303
  end process;
304
 
305
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.