OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm12.vhd] - Blame information for rev 216

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2019, 2020 Jeremy Seth Henry
2 180 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 180 jshamlet
--
24
-- VHDL Units :  o8_vdsm12
25
-- Description:  12-bit variable delta-sigma modulator. Requires Open8_pkg.vhd
26
--
27
-- Register Map:
28
-- Offset  Bitfield Description                        Read/Write
29
--   0x0   AAAAAAAA Pending DAC Level (7:0)            (R/W)
30 213 jshamlet
--   0x1   ----AAAA Pending DAC Level (11:8)           (R/W)
31 180 jshamlet
--   0x2   -------- Clear DAC Output (on write)        (WO)
32
--   0x3   AAAAAAAA Update DAC Output (on write)       (RO)
33
--
34
-- Revision History
35
-- Author          Date     Change
36
------------------ -------- ---------------------------------------------------
37
-- Seth Henry      12/18/19 Design start
38 213 jshamlet
-- Seth Henry      04/10/20 Code Cleanup
39 180 jshamlet
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.std_logic_unsigned.all;
43
use ieee.std_logic_arith.all;
44
 
45
library work;
46
  use work.open8_pkg.all;
47
 
48
entity o8_vdsm12 is
49
generic(
50
  Reset_Level           : std_logic := '1';
51
  Address               : ADDRESS_TYPE
52
);
53
port(
54
  Clock                 : in  std_logic;
55
  Reset                 : in  std_logic;
56
  --
57
  Bus_Address           : in  ADDRESS_TYPE;
58
  Wr_Enable             : in  std_logic;
59
  Wr_Data               : in  DATA_TYPE;
60
  Rd_Enable             : in  std_logic;
61
  Rd_Data               : out DATA_TYPE;
62
  --
63
  PDM_Out               : out std_logic
64
);
65
end entity;
66
 
67
architecture behave of o8_vdsm12 is
68
 
69
  constant User_Addr    : std_logic_vector(15 downto 2)
70
                          := Address(15 downto 2);
71
  alias  Comp_Addr      is Bus_Address(15 downto 2);
72
  alias  Reg_Addr       is Bus_Address(1 downto 0);
73 213 jshamlet
  signal Reg_Sel        : std_logic_vector(1 downto 0) := "00";
74
  signal Addr_Match     : std_logic := '0';
75
  signal Wr_En          : std_logic := '0';
76
  signal Wr_Data_q      : DATA_TYPE := x"00";
77
  signal Rd_En          : std_logic := '0';
78 180 jshamlet
 
79
  constant DAC_Width    : integer := 12;
80
 
81 213 jshamlet
  signal DAC_Val_LB     : std_logic_vector(7 downto 0) := x"00";
82
  signal DAC_Val_UB     : std_logic_vector(3 downto 0) := x"0";
83
  signal DAC_Val        : std_logic_vector(DAC_Width-1 downto 0)  :=
84
                           (others => '0');
85 180 jshamlet
 
86
  constant DELTA_1_I    : integer := 1;
87
  constant DELTA_2_I    : integer := 5;
88
  constant DELTA_3_I    : integer := 25;
89
  constant DELTA_4_I    : integer := 75;
90
  constant DELTA_5_I    : integer := 125;
91
  constant DELTA_6_I    : integer := 250;
92
  constant DELTA_7_I    : integer := 500;
93
  constant DELTA_8_I    : integer := 1000;
94
  constant DELTA_9_I    : integer := 2000;
95
  constant DELTA_10_I   : integer := 3000;
96
 
97
  constant DELTA_1      : std_logic_vector(DAC_Width-1 downto 0) :=
98
                           conv_std_logic_vector(DELTA_1_I, DAC_Width);
99
  constant DELTA_2      : std_logic_vector(DAC_Width-1 downto 0) :=
100
                           conv_std_logic_vector(DELTA_2_I, DAC_Width);
101
  constant DELTA_3      : std_logic_vector(DAC_Width-1 downto 0) :=
102
                           conv_std_logic_vector(DELTA_3_I, DAC_Width);
103
  constant DELTA_4      : std_logic_vector(DAC_Width-1 downto 0) :=
104
                           conv_std_logic_vector(DELTA_4_I, DAC_Width);
105
  constant DELTA_5      : std_logic_vector(DAC_Width-1 downto 0) :=
106
                           conv_std_logic_vector(DELTA_5_I, DAC_Width);
107
  constant DELTA_6      : std_logic_vector(DAC_Width-1 downto 0) :=
108
                           conv_std_logic_vector(DELTA_6_I, DAC_Width);
109
  constant DELTA_7      : std_logic_vector(DAC_Width-1 downto 0) :=
110
                           conv_std_logic_vector(DELTA_7_I, DAC_Width);
111
  constant DELTA_8      : std_logic_vector(DAC_Width-1 downto 0) :=
112
                           conv_std_logic_vector(DELTA_8_I, DAC_Width);
113
  constant DELTA_9      : std_logic_vector(DAC_Width-1 downto 0) :=
114
                           conv_std_logic_vector(DELTA_9_I, DAC_Width);
115
  constant DELTA_10     : std_logic_vector(DAC_Width-1 downto 0) :=
116
                           conv_std_logic_vector(DELTA_10_I, DAC_Width);
117
 
118
  constant MAX_PERIOD   : integer := 2**DAC_Width;
119
  constant DIV_WIDTH    : integer := DAC_Width * 2;
120
 
121
  constant PADJ_1_I     : integer := DELTA_1_I * MAX_PERIOD;
122
  constant PADJ_2_I     : integer := DELTA_2_I * MAX_PERIOD;
123
  constant PADJ_3_I     : integer := DELTA_3_I * MAX_PERIOD;
124
  constant PADJ_4_I     : integer := DELTA_4_I * MAX_PERIOD;
125
  constant PADJ_5_I     : integer := DELTA_5_I * MAX_PERIOD;
126
  constant PADJ_6_I     : integer := DELTA_6_I * MAX_PERIOD;
127
  constant PADJ_7_I     : integer := DELTA_7_I * MAX_PERIOD;
128
  constant PADJ_8_I     : integer := DELTA_8_I * MAX_PERIOD;
129
  constant PADJ_9_I     : integer := DELTA_9_I * MAX_PERIOD;
130
  constant PADJ_10_I    : integer := DELTA_10_I * MAX_PERIOD;
131
 
132
  constant PADJ_1       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
133
                           conv_std_logic_vector(PADJ_1_I,DIV_WIDTH);
134
  constant PADJ_2       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
135
                           conv_std_logic_vector(PADJ_2_I,DIV_WIDTH);
136
  constant PADJ_3       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
137
                           conv_std_logic_vector(PADJ_3_I,DIV_WIDTH);
138
  constant PADJ_4       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
139
                           conv_std_logic_vector(PADJ_4_I,DIV_WIDTH);
140
  constant PADJ_5       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
141
                           conv_std_logic_vector(PADJ_5_I,DIV_WIDTH);
142
  constant PADJ_6       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
143
                           conv_std_logic_vector(PADJ_6_I,DIV_WIDTH);
144
  constant PADJ_7       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
145
                           conv_std_logic_vector(PADJ_7_I,DIV_WIDTH);
146
  constant PADJ_8       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
147
                           conv_std_logic_vector(PADJ_8_I,DIV_WIDTH);
148
  constant PADJ_9       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
149
                           conv_std_logic_vector(PADJ_9_I,DIV_WIDTH);
150
  constant PADJ_10      : std_logic_vector(DIV_WIDTH-1 downto 0) :=
151
                           conv_std_logic_vector(PADJ_10_I,DIV_WIDTH);
152
 
153 213 jshamlet
  signal DACin_q        : std_logic_vector(DAC_Width-1 downto 0) :=
154
                           (others => '0');
155 180 jshamlet
 
156 213 jshamlet
  signal Divisor        : std_logic_vector(DIV_WIDTH-1 downto 0) :=
157
                           (others => '0');
158 180 jshamlet
 
159 213 jshamlet
  signal Dividend       : std_logic_vector(DIV_WIDTH-1 downto 0) :=
160
                           (others => '0');
161 180 jshamlet
 
162 213 jshamlet
  signal q              : std_logic_vector(DIV_WIDTH*2-1 downto 0) :=
163
                           (others => '0');
164
 
165
  signal diff           : std_logic_vector(DIV_WIDTH downto 0) :=
166
                           (others => '0');
167
 
168 180 jshamlet
  constant CB           : integer := ceil_log2(DIV_WIDTH);
169 213 jshamlet
  signal count          : std_logic_vector(CB-1 downto 0) :=
170
                           (others => '0');
171 180 jshamlet
 
172 213 jshamlet
  signal Next_Width     : std_logic_vector(DAC_Width-1 downto 0) :=
173
                           (others => '0');
174 180 jshamlet
 
175 213 jshamlet
  signal Next_Period    : std_logic_vector(DAC_Width-1 downto 0) :=
176
                           (others => '0');
177 180 jshamlet
 
178 213 jshamlet
  signal PWM_Width      : std_logic_vector(DAC_Width-1 downto 0) :=
179
                           (others => '0');
180 180 jshamlet
 
181 213 jshamlet
  signal PWM_Period     : std_logic_vector(DAC_Width-1 downto 0) :=
182
                           (others => '0');
183 180 jshamlet
 
184 213 jshamlet
  signal Width_Ctr      : std_logic_vector(DAC_Width-1 downto 0) :=
185
                           (others => '0');
186
 
187
  signal Period_Ctr     : std_logic_vector(DAC_Width-1 downto 0) :=
188
                           (others => '0');
189
 
190 180 jshamlet
begin
191
 
192
  Addr_Match            <= '1' when Comp_Addr = User_Addr else '0';
193
 
194
  io_reg: process( Clock, Reset )
195
  begin
196
    if( Reset = Reset_Level )then
197
      Reg_Sel           <= "00";
198
      Rd_En             <= '0';
199 191 jshamlet
      Rd_Data           <= OPEN8_NULLBUS;
200 180 jshamlet
      Wr_En             <= '0';
201
      Wr_Data_q         <= x"00";
202
      DAC_Val_LB        <= x"00";
203 213 jshamlet
      DAC_Val_UB        <= x"0";
204 180 jshamlet
      DAC_Val           <= (others => '0');
205
    elsif( rising_edge( Clock ) )then
206
      Reg_Sel           <= Reg_Addr;
207
 
208
      Wr_En             <= Addr_Match and Wr_Enable;
209
      Wr_Data_q         <= Wr_Data;
210
      if( Wr_En = '1' )then
211
        case( Reg_Sel )is
212
          when "00" =>
213
            DAC_Val_LB  <= Wr_Data_q;
214
          when "01" =>
215 213 jshamlet
            DAC_Val_UB  <= Wr_Data_q(3 downto 0);
216 180 jshamlet
          when "10" =>
217
            DAC_Val     <= (others => '0');
218
          when "11" =>
219 213 jshamlet
            DAC_Val     <= DAC_Val_UB & DAC_Val_LB;
220 180 jshamlet
          when others => null;
221
        end case;
222
      end if;
223
 
224 191 jshamlet
      Rd_Data           <= OPEN8_NULLBUS;
225 180 jshamlet
      Rd_En             <= Addr_Match and Rd_Enable;
226
      if( Rd_En = '1' )then
227
        case( Reg_Sel )is
228
          when "00" =>
229
            Rd_Data     <= DAC_Val_LB;
230
          when "01" =>
231 213 jshamlet
            Rd_Data     <= x"0" & DAC_Val_UB;
232 180 jshamlet
          when others => null;
233
        end case;
234
      end if;
235
    end if;
236
  end process;
237
 
238
  diff                  <= ('0' & q(DIV_WIDTH*2-2 downto DIV_WIDTH-1)) -
239
                           ('0' & Divisor);
240
 
241
  Dividend   <= PADJ_2  when DACin_q >= DELTA_2_I and DACin_q < DELTA_3_I else
242
                PADJ_3  when DACin_q >= DELTA_3_I and DACin_q < DELTA_4_I else
243
                PADJ_4  when DACin_q >= DELTA_4_I and DACin_q < DELTA_5_I else
244
                PADJ_5  when DACin_q >= DELTA_5_I and DACin_q < DELTA_6_I else
245
                PADJ_6  when DACin_q >= DELTA_6_I and DACin_q < DELTA_7_I else
246
                PADJ_7  when DACin_q >= DELTA_7_I and DACin_q < DELTA_8_I else
247
                PADJ_8  when DACin_q >= DELTA_8_I and DACin_q < DELTA_9_I else
248
                PADJ_9  when DACin_q >= DELTA_9_I and DACin_q < DELTA_10_I else
249
                PADJ_10 when DACin_q >= DELTA_10_I else
250
                PADJ_1;
251
 
252
  Next_Width <= DELTA_1  when DACin_q >= DELTA_1_I and DACin_q < DELTA_2_I else
253
                DELTA_2  when DACin_q >= DELTA_2_I and DACin_q < DELTA_3_I else
254
                DELTA_3  when DACin_q >= DELTA_3_I and DACin_q < DELTA_4_I else
255
                DELTA_4  when DACin_q >= DELTA_4_I and DACin_q < DELTA_5_I else
256
                DELTA_5  when DACin_q >= DELTA_5_I and DACin_q < DELTA_6_I else
257
                DELTA_6  when DACin_q >= DELTA_6_I and DACin_q < DELTA_7_I else
258
                DELTA_7  when DACin_q >= DELTA_7_I and DACin_q < DELTA_8_I else
259
                DELTA_8  when DACin_q >= DELTA_8_I and DACin_q < DELTA_9_I else
260
                DELTA_9  when DACin_q >= DELTA_9_I and DACin_q < DELTA_10_I else
261
                DELTA_10 when DACin_q >= DELTA_10_I else
262
                (others => '0');
263
 
264
  Next_Period            <= q(DAC_Width-1 downto 0) - 1;
265 191 jshamlet
 
266 180 jshamlet
  vDSM_proc: process( Clock, Reset )
267
  begin
268
    if( Reset = Reset_Level )then
269
      q                 <= (others => '0');
270
      count             <= (others => '1');
271
      Divisor           <= (others => '0');
272
      DACin_q           <= (others => '0');
273
      PWM_Width         <= (others => '0');
274
      PWM_Period        <= (others => '0');
275
      Period_Ctr        <= (others => '0');
276
      Width_Ctr         <= (others => '0');
277
      PDM_Out           <= '0';
278
    elsif( rising_edge(Clock) )then
279
      q                 <= diff(DIV_WIDTH-1 downto 0) &
280
                           q(DIV_WIDTH-2 downto 0) & '1';
281
      if( diff(DIV_WIDTH) = '1' )then
282
        q               <= q(DIV_WIDTH*2-2 downto 0) & '0';
283
      end if;
284
 
285
      count             <= count + 1;
286
      if( count = DIV_WIDTH )then
287
        PWM_Width       <= Next_Width;
288
        PWM_Period      <= Next_Period;
289
        DACin_q         <= DAC_val;
290
        Divisor         <= (others => '0');
291
        Divisor(DAC_Width-1 downto 0) <= DACin_q;
292
        q               <= conv_std_logic_vector(0,DIV_WIDTH) & Dividend;
293
        count           <= (others => '0');
294
      end if;
295
 
296
      Period_Ctr        <= Period_Ctr - 1;
297
      Width_Ctr         <= Width_Ctr - 1;
298
 
299
      PDM_Out           <= '1';
300
      if( Width_Ctr = 0 )then
301
        PDM_Out         <= '0';
302
        Width_Ctr       <= (others => '0');
303
      end if;
304
 
305
      if( Period_Ctr = 0 )then
306
        Period_Ctr      <= PWM_Period;
307
        Width_Ctr       <= PWM_Width;
308
      end if;
309
 
310
    end if;
311
  end process;
312
 
313
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.