OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm12.vhd] - Blame information for rev 223

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2019, 2020 Jeremy Seth Henry
2 180 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 180 jshamlet
--
24
-- VHDL Units :  o8_vdsm12
25
-- Description:  12-bit variable delta-sigma modulator. Requires Open8_pkg.vhd
26
--
27
-- Register Map:
28
-- Offset  Bitfield Description                        Read/Write
29
--   0x0   AAAAAAAA Pending DAC Level (7:0)            (R/W)
30 213 jshamlet
--   0x1   ----AAAA Pending DAC Level (11:8)           (R/W)
31 180 jshamlet
--   0x2   -------- Clear DAC Output (on write)        (WO)
32
--   0x3   AAAAAAAA Update DAC Output (on write)       (RO)
33
--
34
-- Revision History
35
-- Author          Date     Change
36
------------------ -------- ---------------------------------------------------
37
-- Seth Henry      12/18/19 Design start
38 213 jshamlet
-- Seth Henry      04/10/20 Code Cleanup
39 180 jshamlet
 
40
library ieee;
41
use ieee.std_logic_1164.all;
42
use ieee.std_logic_unsigned.all;
43
use ieee.std_logic_arith.all;
44
 
45
library work;
46
  use work.open8_pkg.all;
47
 
48
entity o8_vdsm12 is
49
generic(
50 217 jshamlet
  Reset_Level                : std_logic := '1';
51
  Address                    : ADDRESS_TYPE
52 180 jshamlet
);
53
port(
54 217 jshamlet
  Clock                      : in  std_logic;
55
  Reset                      : in  std_logic;
56 180 jshamlet
  --
57 223 jshamlet
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
58 217 jshamlet
  Rd_Data                    : out DATA_TYPE;
59 180 jshamlet
  --
60 217 jshamlet
  PDM_Out                    : out std_logic
61 180 jshamlet
);
62
end entity;
63
 
64
architecture behave of o8_vdsm12 is
65
 
66 217 jshamlet
  constant User_Addr         : std_logic_vector(15 downto 2)
67
                               := Address(15 downto 2);
68 223 jshamlet
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
69
  alias  Reg_Addr            is Open8_Bus.Address(1 downto 0);
70 217 jshamlet
  signal Reg_Sel             : std_logic_vector(1 downto 0) := "00";
71
  signal Addr_Match          : std_logic := '0';
72
  signal Wr_En               : std_logic := '0';
73
  signal Wr_Data_q           : DATA_TYPE := x"00";
74
  signal Rd_En               : std_logic := '0';
75 180 jshamlet
 
76 217 jshamlet
  constant DAC_Width         : integer := 12;
77 180 jshamlet
 
78 217 jshamlet
  signal DAC_Val_LB          : std_logic_vector(7 downto 0) := x"00";
79
  signal DAC_Val_UB          : std_logic_vector(3 downto 0) := x"0";
80
  signal DAC_Val             : std_logic_vector(DAC_Width-1 downto 0)  :=
81
                                (others => '0');
82 180 jshamlet
 
83 217 jshamlet
  constant DELTA_1_I         : integer := 1;
84
  constant DELTA_2_I         : integer := 5;
85
  constant DELTA_3_I         : integer := 25;
86
  constant DELTA_4_I         : integer := 75;
87
  constant DELTA_5_I         : integer := 125;
88
  constant DELTA_6_I         : integer := 250;
89
  constant DELTA_7_I         : integer := 500;
90
  constant DELTA_8_I         : integer := 1000;
91
  constant DELTA_9_I         : integer := 2000;
92
  constant DELTA_10_I        : integer := 3000;
93 180 jshamlet
 
94 217 jshamlet
  constant DELTA_1           : std_logic_vector(DAC_Width-1 downto 0) :=
95
                                conv_std_logic_vector(DELTA_1_I, DAC_Width);
96
  constant DELTA_2           : std_logic_vector(DAC_Width-1 downto 0) :=
97
                                conv_std_logic_vector(DELTA_2_I, DAC_Width);
98
  constant DELTA_3           : std_logic_vector(DAC_Width-1 downto 0) :=
99
                                conv_std_logic_vector(DELTA_3_I, DAC_Width);
100
  constant DELTA_4           : std_logic_vector(DAC_Width-1 downto 0) :=
101
                                conv_std_logic_vector(DELTA_4_I, DAC_Width);
102
  constant DELTA_5           : std_logic_vector(DAC_Width-1 downto 0) :=
103
                                conv_std_logic_vector(DELTA_5_I, DAC_Width);
104
  constant DELTA_6           : std_logic_vector(DAC_Width-1 downto 0) :=
105
                                conv_std_logic_vector(DELTA_6_I, DAC_Width);
106
  constant DELTA_7           : std_logic_vector(DAC_Width-1 downto 0) :=
107
                                conv_std_logic_vector(DELTA_7_I, DAC_Width);
108
  constant DELTA_8           : std_logic_vector(DAC_Width-1 downto 0) :=
109
                                conv_std_logic_vector(DELTA_8_I, DAC_Width);
110
  constant DELTA_9           : std_logic_vector(DAC_Width-1 downto 0) :=
111
                                conv_std_logic_vector(DELTA_9_I, DAC_Width);
112
  constant DELTA_10          : std_logic_vector(DAC_Width-1 downto 0) :=
113
                                conv_std_logic_vector(DELTA_10_I, DAC_Width);
114 180 jshamlet
 
115 217 jshamlet
  constant MAX_PERIOD        : integer := 2**DAC_Width;
116
  constant DIV_WIDTH         : integer := DAC_Width * 2;
117 180 jshamlet
 
118 217 jshamlet
  constant PADJ_1_I          : integer := DELTA_1_I * MAX_PERIOD;
119
  constant PADJ_2_I          : integer := DELTA_2_I * MAX_PERIOD;
120
  constant PADJ_3_I          : integer := DELTA_3_I * MAX_PERIOD;
121
  constant PADJ_4_I          : integer := DELTA_4_I * MAX_PERIOD;
122
  constant PADJ_5_I          : integer := DELTA_5_I * MAX_PERIOD;
123
  constant PADJ_6_I          : integer := DELTA_6_I * MAX_PERIOD;
124
  constant PADJ_7_I          : integer := DELTA_7_I * MAX_PERIOD;
125
  constant PADJ_8_I          : integer := DELTA_8_I * MAX_PERIOD;
126
  constant PADJ_9_I          : integer := DELTA_9_I * MAX_PERIOD;
127
  constant PADJ_10_I         : integer := DELTA_10_I * MAX_PERIOD;
128 180 jshamlet
 
129 217 jshamlet
  constant PADJ_1            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
130
                                conv_std_logic_vector(PADJ_1_I,DIV_WIDTH);
131
  constant PADJ_2            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
132
                                conv_std_logic_vector(PADJ_2_I,DIV_WIDTH);
133
  constant PADJ_3            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
134
                                conv_std_logic_vector(PADJ_3_I,DIV_WIDTH);
135
  constant PADJ_4            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
136
                                conv_std_logic_vector(PADJ_4_I,DIV_WIDTH);
137
  constant PADJ_5            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
138
                                conv_std_logic_vector(PADJ_5_I,DIV_WIDTH);
139
  constant PADJ_6            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
140
                                conv_std_logic_vector(PADJ_6_I,DIV_WIDTH);
141
  constant PADJ_7            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
142
                                conv_std_logic_vector(PADJ_7_I,DIV_WIDTH);
143
  constant PADJ_8            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
144
                                conv_std_logic_vector(PADJ_8_I,DIV_WIDTH);
145
  constant PADJ_9            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
146
                                conv_std_logic_vector(PADJ_9_I,DIV_WIDTH);
147
  constant PADJ_10           : std_logic_vector(DIV_WIDTH-1 downto 0) :=
148
                                conv_std_logic_vector(PADJ_10_I,DIV_WIDTH);
149 180 jshamlet
 
150 217 jshamlet
  signal DACin_q             : std_logic_vector(DAC_Width-1 downto 0) :=
151
                                (others => '0');
152 180 jshamlet
 
153 217 jshamlet
  signal Divisor             : std_logic_vector(DIV_WIDTH-1 downto 0) :=
154
                                (others => '0');
155 180 jshamlet
 
156 217 jshamlet
  signal Dividend            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
157
                                (others => '0');
158 180 jshamlet
 
159 217 jshamlet
  signal q                   : std_logic_vector(DIV_WIDTH*2-1 downto 0) :=
160
                                (others => '0');
161 213 jshamlet
 
162 217 jshamlet
  signal diff                : std_logic_vector(DIV_WIDTH downto 0) :=
163
                                (others => '0');
164 213 jshamlet
 
165 217 jshamlet
  constant CB                : integer := ceil_log2(DIV_WIDTH);
166
  signal count               : std_logic_vector(CB-1 downto 0) :=
167
                                (others => '0');
168 180 jshamlet
 
169 217 jshamlet
  signal Next_Width          : std_logic_vector(DAC_Width-1 downto 0) :=
170
                                (others => '0');
171 180 jshamlet
 
172 217 jshamlet
  signal Next_Period         : std_logic_vector(DAC_Width-1 downto 0) :=
173
                                (others => '0');
174 180 jshamlet
 
175 217 jshamlet
  signal PWM_Width           : std_logic_vector(DAC_Width-1 downto 0) :=
176
                                (others => '0');
177 180 jshamlet
 
178 217 jshamlet
  signal PWM_Period          : std_logic_vector(DAC_Width-1 downto 0) :=
179
                                (others => '0');
180 180 jshamlet
 
181 217 jshamlet
  signal Width_Ctr           : std_logic_vector(DAC_Width-1 downto 0) :=
182
                                (others => '0');
183 213 jshamlet
 
184 217 jshamlet
  signal Period_Ctr          : std_logic_vector(DAC_Width-1 downto 0) :=
185
                                (others => '0');
186 213 jshamlet
 
187 180 jshamlet
begin
188
 
189 217 jshamlet
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
190 180 jshamlet
 
191
  io_reg: process( Clock, Reset )
192
  begin
193
    if( Reset = Reset_Level )then
194 217 jshamlet
      Reg_Sel                <= "00";
195
      Rd_En                  <= '0';
196
      Rd_Data                <= OPEN8_NULLBUS;
197
      Wr_En                  <= '0';
198
      Wr_Data_q              <= x"00";
199
      DAC_Val_LB             <= x"00";
200
      DAC_Val_UB             <= x"0";
201
      DAC_Val                <= (others => '0');
202 180 jshamlet
    elsif( rising_edge( Clock ) )then
203 217 jshamlet
      Reg_Sel                <= Reg_Addr;
204 180 jshamlet
 
205 223 jshamlet
      Wr_En                  <= Addr_Match and Open8_Bus.Wr_En;
206
      Wr_Data_q              <= Open8_Bus.Wr_Data;
207 180 jshamlet
      if( Wr_En = '1' )then
208
        case( Reg_Sel )is
209
          when "00" =>
210 217 jshamlet
            DAC_Val_LB       <= Wr_Data_q;
211 180 jshamlet
          when "01" =>
212 217 jshamlet
            DAC_Val_UB       <= Wr_Data_q(3 downto 0);
213 180 jshamlet
          when "10" =>
214 217 jshamlet
            DAC_Val          <= (others => '0');
215 180 jshamlet
          when "11" =>
216 217 jshamlet
            DAC_Val          <= DAC_Val_UB & DAC_Val_LB;
217 180 jshamlet
          when others => null;
218
        end case;
219
      end if;
220
 
221 217 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
222 223 jshamlet
      Rd_En                  <= Addr_Match and Open8_Bus.Rd_En;
223 180 jshamlet
      if( Rd_En = '1' )then
224
        case( Reg_Sel )is
225
          when "00" =>
226 217 jshamlet
            Rd_Data          <= DAC_Val_LB;
227 180 jshamlet
          when "01" =>
228 217 jshamlet
            Rd_Data          <= x"0" & DAC_Val_UB;
229 180 jshamlet
          when others => null;
230
        end case;
231
      end if;
232
    end if;
233
  end process;
234
 
235 217 jshamlet
  diff                       <= ('0' & q(DIV_WIDTH*2-2 downto DIV_WIDTH-1)) -
236
                                ('0' & Divisor);
237 180 jshamlet
 
238
  Dividend   <= PADJ_2  when DACin_q >= DELTA_2_I and DACin_q < DELTA_3_I else
239
                PADJ_3  when DACin_q >= DELTA_3_I and DACin_q < DELTA_4_I else
240
                PADJ_4  when DACin_q >= DELTA_4_I and DACin_q < DELTA_5_I else
241
                PADJ_5  when DACin_q >= DELTA_5_I and DACin_q < DELTA_6_I else
242
                PADJ_6  when DACin_q >= DELTA_6_I and DACin_q < DELTA_7_I else
243
                PADJ_7  when DACin_q >= DELTA_7_I and DACin_q < DELTA_8_I else
244
                PADJ_8  when DACin_q >= DELTA_8_I and DACin_q < DELTA_9_I else
245
                PADJ_9  when DACin_q >= DELTA_9_I and DACin_q < DELTA_10_I else
246
                PADJ_10 when DACin_q >= DELTA_10_I else
247
                PADJ_1;
248
 
249
  Next_Width <= DELTA_1  when DACin_q >= DELTA_1_I and DACin_q < DELTA_2_I else
250
                DELTA_2  when DACin_q >= DELTA_2_I and DACin_q < DELTA_3_I else
251
                DELTA_3  when DACin_q >= DELTA_3_I and DACin_q < DELTA_4_I else
252
                DELTA_4  when DACin_q >= DELTA_4_I and DACin_q < DELTA_5_I else
253
                DELTA_5  when DACin_q >= DELTA_5_I and DACin_q < DELTA_6_I else
254
                DELTA_6  when DACin_q >= DELTA_6_I and DACin_q < DELTA_7_I else
255
                DELTA_7  when DACin_q >= DELTA_7_I and DACin_q < DELTA_8_I else
256
                DELTA_8  when DACin_q >= DELTA_8_I and DACin_q < DELTA_9_I else
257
                DELTA_9  when DACin_q >= DELTA_9_I and DACin_q < DELTA_10_I else
258
                DELTA_10 when DACin_q >= DELTA_10_I else
259
                (others => '0');
260
 
261 217 jshamlet
  Next_Period                <= q(DAC_Width-1 downto 0) - 1;
262 191 jshamlet
 
263 180 jshamlet
  vDSM_proc: process( Clock, Reset )
264
  begin
265
    if( Reset = Reset_Level )then
266 217 jshamlet
      q                      <= (others => '0');
267
      count                  <= (others => '1');
268
      Divisor                <= (others => '0');
269
      DACin_q                <= (others => '0');
270
      PWM_Width              <= (others => '0');
271
      PWM_Period             <= (others => '0');
272
      Period_Ctr             <= (others => '0');
273
      Width_Ctr              <= (others => '0');
274
      PDM_Out                <= '0';
275 180 jshamlet
    elsif( rising_edge(Clock) )then
276 217 jshamlet
      q                      <= diff(DIV_WIDTH-1 downto 0) &
277
                                q(DIV_WIDTH-2 downto 0) & '1';
278 180 jshamlet
      if( diff(DIV_WIDTH) = '1' )then
279 217 jshamlet
        q                    <= q(DIV_WIDTH*2-2 downto 0) & '0';
280 180 jshamlet
      end if;
281
 
282 217 jshamlet
      count                  <= count + 1;
283 180 jshamlet
      if( count = DIV_WIDTH )then
284 217 jshamlet
        PWM_Width            <= Next_Width;
285
        PWM_Period           <= Next_Period;
286
        DACin_q              <= DAC_val;
287
        Divisor              <= (others => '0');
288 180 jshamlet
        Divisor(DAC_Width-1 downto 0) <= DACin_q;
289 217 jshamlet
        q                   <= conv_std_logic_vector(0,DIV_WIDTH) & Dividend;
290
        count               <= (others => '0');
291 180 jshamlet
      end if;
292
 
293 217 jshamlet
      Period_Ctr            <= Period_Ctr - 1;
294
      Width_Ctr             <= Width_Ctr - 1;
295 180 jshamlet
 
296 217 jshamlet
      PDM_Out               <= '1';
297 180 jshamlet
      if( Width_Ctr = 0 )then
298 217 jshamlet
        PDM_Out             <= '0';
299
        Width_Ctr           <= (others => '0');
300 180 jshamlet
      end if;
301
 
302
      if( Period_Ctr = 0 )then
303 217 jshamlet
        Period_Ctr          <= PWM_Period;
304
        Width_Ctr           <= PWM_Width;
305 180 jshamlet
      end if;
306
 
307
    end if;
308
  end process;
309
 
310
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.