OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm12.vhd] - Blame information for rev 252

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 194 jshamlet
-- Copyright (c)2019, 2020 Jeremy Seth Henry
2 180 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 180 jshamlet
--
24
-- VHDL Units :  o8_vdsm12
25
-- Description:  12-bit variable delta-sigma modulator. Requires Open8_pkg.vhd
26
--
27
-- Register Map:
28
-- Offset  Bitfield Description                        Read/Write
29
--   0x0   AAAAAAAA Pending DAC Level (7:0)            (R/W)
30 213 jshamlet
--   0x1   ----AAAA Pending DAC Level (11:8)           (R/W)
31 180 jshamlet
--   0x2   -------- Clear DAC Output (on write)        (WO)
32
--   0x3   AAAAAAAA Update DAC Output (on write)       (RO)
33
--
34
-- Revision History
35
-- Author          Date     Change
36
------------------ -------- ---------------------------------------------------
37
-- Seth Henry      12/18/19 Design start
38 213 jshamlet
-- Seth Henry      04/10/20 Code Cleanup
39 224 jshamlet
-- Seth Henry      04/16/20 Modified to use Open8 bus record
40 244 jshamlet
-- Seth Henry      05/18/20 Added write qualification input
41 180 jshamlet
 
42
library ieee;
43
use ieee.std_logic_1164.all;
44
use ieee.std_logic_unsigned.all;
45
use ieee.std_logic_arith.all;
46
 
47
library work;
48
  use work.open8_pkg.all;
49
 
50
entity o8_vdsm12 is
51
generic(
52 224 jshamlet
  Default_Value              : std_logic_vector(11 downto 0) := x"000";
53 217 jshamlet
  Address                    : ADDRESS_TYPE
54 180 jshamlet
);
55
port(
56 223 jshamlet
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
57 244 jshamlet
  Write_Qual                 : in  std_logic := '1';
58 217 jshamlet
  Rd_Data                    : out DATA_TYPE;
59 180 jshamlet
  --
60 224 jshamlet
  DACOut                     : out std_logic
61 180 jshamlet
);
62
end entity;
63
 
64
architecture behave of o8_vdsm12 is
65
 
66 224 jshamlet
  alias Clock                is Open8_Bus.Clock;
67
  alias Reset                is Open8_Bus.Reset;
68
 
69 217 jshamlet
  constant User_Addr         : std_logic_vector(15 downto 2)
70
                               := Address(15 downto 2);
71 223 jshamlet
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 2);
72 244 jshamlet
  signal Addr_Match          : std_logic;
73
 
74
  alias  Reg_Sel_d           is Open8_Bus.Address(1 downto 0);
75
  signal Reg_Sel_q           : std_logic_vector(1 downto 0) := "00";
76
  signal Wr_En_d             : std_logic := '0';
77
  signal Wr_En_q             : std_logic := '0';
78
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
79 217 jshamlet
  signal Wr_Data_q           : DATA_TYPE := x"00";
80 244 jshamlet
  signal Rd_En_d             : std_logic := '0';
81
  signal Rd_En_q             : std_logic := '0';
82 180 jshamlet
 
83 217 jshamlet
  constant DAC_Width         : integer := 12;
84 180 jshamlet
 
85 217 jshamlet
  signal DAC_Val_LB          : std_logic_vector(7 downto 0) := x"00";
86
  signal DAC_Val_UB          : std_logic_vector(3 downto 0) := x"0";
87
  signal DAC_Val             : std_logic_vector(DAC_Width-1 downto 0)  :=
88
                                (others => '0');
89 180 jshamlet
 
90 217 jshamlet
  constant DELTA_1_I         : integer := 1;
91
  constant DELTA_2_I         : integer := 5;
92
  constant DELTA_3_I         : integer := 25;
93
  constant DELTA_4_I         : integer := 75;
94
  constant DELTA_5_I         : integer := 125;
95
  constant DELTA_6_I         : integer := 250;
96
  constant DELTA_7_I         : integer := 500;
97
  constant DELTA_8_I         : integer := 1000;
98
  constant DELTA_9_I         : integer := 2000;
99
  constant DELTA_10_I        : integer := 3000;
100 180 jshamlet
 
101 217 jshamlet
  constant DELTA_1           : std_logic_vector(DAC_Width-1 downto 0) :=
102
                                conv_std_logic_vector(DELTA_1_I, DAC_Width);
103
  constant DELTA_2           : std_logic_vector(DAC_Width-1 downto 0) :=
104
                                conv_std_logic_vector(DELTA_2_I, DAC_Width);
105
  constant DELTA_3           : std_logic_vector(DAC_Width-1 downto 0) :=
106
                                conv_std_logic_vector(DELTA_3_I, DAC_Width);
107
  constant DELTA_4           : std_logic_vector(DAC_Width-1 downto 0) :=
108
                                conv_std_logic_vector(DELTA_4_I, DAC_Width);
109
  constant DELTA_5           : std_logic_vector(DAC_Width-1 downto 0) :=
110
                                conv_std_logic_vector(DELTA_5_I, DAC_Width);
111
  constant DELTA_6           : std_logic_vector(DAC_Width-1 downto 0) :=
112
                                conv_std_logic_vector(DELTA_6_I, DAC_Width);
113
  constant DELTA_7           : std_logic_vector(DAC_Width-1 downto 0) :=
114
                                conv_std_logic_vector(DELTA_7_I, DAC_Width);
115
  constant DELTA_8           : std_logic_vector(DAC_Width-1 downto 0) :=
116
                                conv_std_logic_vector(DELTA_8_I, DAC_Width);
117
  constant DELTA_9           : std_logic_vector(DAC_Width-1 downto 0) :=
118
                                conv_std_logic_vector(DELTA_9_I, DAC_Width);
119
  constant DELTA_10          : std_logic_vector(DAC_Width-1 downto 0) :=
120
                                conv_std_logic_vector(DELTA_10_I, DAC_Width);
121 180 jshamlet
 
122 217 jshamlet
  constant MAX_PERIOD        : integer := 2**DAC_Width;
123
  constant DIV_WIDTH         : integer := DAC_Width * 2;
124 180 jshamlet
 
125 217 jshamlet
  constant PADJ_1_I          : integer := DELTA_1_I * MAX_PERIOD;
126
  constant PADJ_2_I          : integer := DELTA_2_I * MAX_PERIOD;
127
  constant PADJ_3_I          : integer := DELTA_3_I * MAX_PERIOD;
128
  constant PADJ_4_I          : integer := DELTA_4_I * MAX_PERIOD;
129
  constant PADJ_5_I          : integer := DELTA_5_I * MAX_PERIOD;
130
  constant PADJ_6_I          : integer := DELTA_6_I * MAX_PERIOD;
131
  constant PADJ_7_I          : integer := DELTA_7_I * MAX_PERIOD;
132
  constant PADJ_8_I          : integer := DELTA_8_I * MAX_PERIOD;
133
  constant PADJ_9_I          : integer := DELTA_9_I * MAX_PERIOD;
134
  constant PADJ_10_I         : integer := DELTA_10_I * MAX_PERIOD;
135 180 jshamlet
 
136 217 jshamlet
  constant PADJ_1            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
137
                                conv_std_logic_vector(PADJ_1_I,DIV_WIDTH);
138
  constant PADJ_2            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
139
                                conv_std_logic_vector(PADJ_2_I,DIV_WIDTH);
140
  constant PADJ_3            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
141
                                conv_std_logic_vector(PADJ_3_I,DIV_WIDTH);
142
  constant PADJ_4            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
143
                                conv_std_logic_vector(PADJ_4_I,DIV_WIDTH);
144
  constant PADJ_5            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
145
                                conv_std_logic_vector(PADJ_5_I,DIV_WIDTH);
146
  constant PADJ_6            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
147
                                conv_std_logic_vector(PADJ_6_I,DIV_WIDTH);
148
  constant PADJ_7            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
149
                                conv_std_logic_vector(PADJ_7_I,DIV_WIDTH);
150
  constant PADJ_8            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
151
                                conv_std_logic_vector(PADJ_8_I,DIV_WIDTH);
152
  constant PADJ_9            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
153
                                conv_std_logic_vector(PADJ_9_I,DIV_WIDTH);
154
  constant PADJ_10           : std_logic_vector(DIV_WIDTH-1 downto 0) :=
155
                                conv_std_logic_vector(PADJ_10_I,DIV_WIDTH);
156 180 jshamlet
 
157 217 jshamlet
  signal DACin_q             : std_logic_vector(DAC_Width-1 downto 0) :=
158
                                (others => '0');
159 180 jshamlet
 
160 217 jshamlet
  signal Divisor             : std_logic_vector(DIV_WIDTH-1 downto 0) :=
161
                                (others => '0');
162 180 jshamlet
 
163 217 jshamlet
  signal Dividend            : std_logic_vector(DIV_WIDTH-1 downto 0) :=
164
                                (others => '0');
165 180 jshamlet
 
166 217 jshamlet
  signal q                   : std_logic_vector(DIV_WIDTH*2-1 downto 0) :=
167
                                (others => '0');
168 213 jshamlet
 
169 217 jshamlet
  signal diff                : std_logic_vector(DIV_WIDTH downto 0) :=
170
                                (others => '0');
171 213 jshamlet
 
172 217 jshamlet
  constant CB                : integer := ceil_log2(DIV_WIDTH);
173
  signal count               : std_logic_vector(CB-1 downto 0) :=
174
                                (others => '0');
175 180 jshamlet
 
176 217 jshamlet
  signal Next_Width          : std_logic_vector(DAC_Width-1 downto 0) :=
177
                                (others => '0');
178 180 jshamlet
 
179 217 jshamlet
  signal Next_Period         : std_logic_vector(DAC_Width-1 downto 0) :=
180
                                (others => '0');
181 180 jshamlet
 
182 217 jshamlet
  signal PWM_Width           : std_logic_vector(DAC_Width-1 downto 0) :=
183
                                (others => '0');
184 180 jshamlet
 
185 217 jshamlet
  signal PWM_Period          : std_logic_vector(DAC_Width-1 downto 0) :=
186
                                (others => '0');
187 180 jshamlet
 
188 217 jshamlet
  signal Width_Ctr           : std_logic_vector(DAC_Width-1 downto 0) :=
189
                                (others => '0');
190 213 jshamlet
 
191 217 jshamlet
  signal Period_Ctr          : std_logic_vector(DAC_Width-1 downto 0) :=
192
                                (others => '0');
193 213 jshamlet
 
194 180 jshamlet
begin
195
 
196 217 jshamlet
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
197 244 jshamlet
  Wr_En_d                    <= Addr_Match and Open8_Bus.Wr_En;
198
  Rd_En_d                    <= Addr_Match and Open8_Bus.Rd_En;
199 180 jshamlet
 
200
  io_reg: process( Clock, Reset )
201
  begin
202
    if( Reset = Reset_Level )then
203 244 jshamlet
      Reg_Sel_q              <= "00";
204
      Wr_En_q                <= '0';
205
      Wr_Data_q              <= x"00";
206
      Rd_En_q                <= '0';
207 217 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
208 244 jshamlet
 
209 217 jshamlet
      DAC_Val_LB             <= x"00";
210
      DAC_Val_UB             <= x"0";
211 224 jshamlet
      DAC_Val                <= Default_Value;
212 180 jshamlet
    elsif( rising_edge( Clock ) )then
213 244 jshamlet
      Reg_Sel_q              <= Reg_Sel_d;
214 180 jshamlet
 
215 244 jshamlet
      Wr_En_q                <= Wr_En_d;
216
      Wr_Data_q              <= Wr_Data_d;
217
      if( Wr_En_q = '1' and Write_Qual = '1' )then
218
        case( Reg_Sel_q )is
219 180 jshamlet
          when "00" =>
220 217 jshamlet
            DAC_Val_LB       <= Wr_Data_q;
221 180 jshamlet
          when "01" =>
222 217 jshamlet
            DAC_Val_UB       <= Wr_Data_q(3 downto 0);
223 180 jshamlet
          when "10" =>
224 217 jshamlet
            DAC_Val          <= (others => '0');
225 180 jshamlet
          when "11" =>
226 217 jshamlet
            DAC_Val          <= DAC_Val_UB & DAC_Val_LB;
227 180 jshamlet
          when others => null;
228
        end case;
229
      end if;
230
 
231 244 jshamlet
      Rd_En_q                <= Rd_En_d;
232 217 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
233 244 jshamlet
      if( Rd_En_q = '1' )then
234
        case( Reg_Sel_q )is
235 180 jshamlet
          when "00" =>
236 217 jshamlet
            Rd_Data          <= DAC_Val_LB;
237 180 jshamlet
          when "01" =>
238 217 jshamlet
            Rd_Data          <= x"0" & DAC_Val_UB;
239 180 jshamlet
          when others => null;
240
        end case;
241
      end if;
242
    end if;
243
  end process;
244
 
245 217 jshamlet
  diff                       <= ('0' & q(DIV_WIDTH*2-2 downto DIV_WIDTH-1)) -
246
                                ('0' & Divisor);
247 180 jshamlet
 
248
  Dividend   <= PADJ_2  when DACin_q >= DELTA_2_I and DACin_q < DELTA_3_I else
249
                PADJ_3  when DACin_q >= DELTA_3_I and DACin_q < DELTA_4_I else
250
                PADJ_4  when DACin_q >= DELTA_4_I and DACin_q < DELTA_5_I else
251
                PADJ_5  when DACin_q >= DELTA_5_I and DACin_q < DELTA_6_I else
252
                PADJ_6  when DACin_q >= DELTA_6_I and DACin_q < DELTA_7_I else
253
                PADJ_7  when DACin_q >= DELTA_7_I and DACin_q < DELTA_8_I else
254
                PADJ_8  when DACin_q >= DELTA_8_I and DACin_q < DELTA_9_I else
255
                PADJ_9  when DACin_q >= DELTA_9_I and DACin_q < DELTA_10_I else
256
                PADJ_10 when DACin_q >= DELTA_10_I else
257
                PADJ_1;
258
 
259
  Next_Width <= DELTA_1  when DACin_q >= DELTA_1_I and DACin_q < DELTA_2_I else
260
                DELTA_2  when DACin_q >= DELTA_2_I and DACin_q < DELTA_3_I else
261
                DELTA_3  when DACin_q >= DELTA_3_I and DACin_q < DELTA_4_I else
262
                DELTA_4  when DACin_q >= DELTA_4_I and DACin_q < DELTA_5_I else
263
                DELTA_5  when DACin_q >= DELTA_5_I and DACin_q < DELTA_6_I else
264
                DELTA_6  when DACin_q >= DELTA_6_I and DACin_q < DELTA_7_I else
265
                DELTA_7  when DACin_q >= DELTA_7_I and DACin_q < DELTA_8_I else
266
                DELTA_8  when DACin_q >= DELTA_8_I and DACin_q < DELTA_9_I else
267
                DELTA_9  when DACin_q >= DELTA_9_I and DACin_q < DELTA_10_I else
268
                DELTA_10 when DACin_q >= DELTA_10_I else
269
                (others => '0');
270
 
271 217 jshamlet
  Next_Period                <= q(DAC_Width-1 downto 0) - 1;
272 191 jshamlet
 
273 180 jshamlet
  vDSM_proc: process( Clock, Reset )
274
  begin
275
    if( Reset = Reset_Level )then
276 217 jshamlet
      q                      <= (others => '0');
277
      count                  <= (others => '1');
278
      Divisor                <= (others => '0');
279
      DACin_q                <= (others => '0');
280
      PWM_Width              <= (others => '0');
281
      PWM_Period             <= (others => '0');
282
      Period_Ctr             <= (others => '0');
283
      Width_Ctr              <= (others => '0');
284 224 jshamlet
      DACOut                 <= '0';
285 180 jshamlet
    elsif( rising_edge(Clock) )then
286 217 jshamlet
      q                      <= diff(DIV_WIDTH-1 downto 0) &
287
                                q(DIV_WIDTH-2 downto 0) & '1';
288 180 jshamlet
      if( diff(DIV_WIDTH) = '1' )then
289 217 jshamlet
        q                    <= q(DIV_WIDTH*2-2 downto 0) & '0';
290 180 jshamlet
      end if;
291
 
292 217 jshamlet
      count                  <= count + 1;
293 180 jshamlet
      if( count = DIV_WIDTH )then
294 217 jshamlet
        PWM_Width            <= Next_Width;
295
        PWM_Period           <= Next_Period;
296
        DACin_q              <= DAC_val;
297
        Divisor              <= (others => '0');
298 180 jshamlet
        Divisor(DAC_Width-1 downto 0) <= DACin_q;
299 217 jshamlet
        q                   <= conv_std_logic_vector(0,DIV_WIDTH) & Dividend;
300
        count               <= (others => '0');
301 180 jshamlet
      end if;
302
 
303 217 jshamlet
      Period_Ctr            <= Period_Ctr - 1;
304
      Width_Ctr             <= Width_Ctr - 1;
305 180 jshamlet
 
306 224 jshamlet
      DACOut                <= '1';
307 180 jshamlet
      if( Width_Ctr = 0 )then
308 224 jshamlet
        DACOut              <= '0';
309 217 jshamlet
        Width_Ctr           <= (others => '0');
310 180 jshamlet
      end if;
311
 
312
      if( Period_Ctr = 0 )then
313 217 jshamlet
        Period_Ctr          <= PWM_Period;
314
        Width_Ctr           <= PWM_Width;
315 180 jshamlet
      end if;
316
 
317
    end if;
318
  end process;
319
 
320
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.