OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_vdsm8.vhd] - Blame information for rev 221

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 213 jshamlet
-- Copyright (c)2016, 2020 Jeremy Seth Henry
2 167 jshamlet
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21 194 jshamlet
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23 167 jshamlet
--
24
-- VHDL Units :  o8_vdsm8
25 217 jshamlet
-- Description:  8-bit variable delta-sigma modulator.
26 213 jshamlet
--
27 221 jshamlet
-- Register Map:
28
-- Offset  Bitfield Description                        Read/Write
29
--   0x00  AAAAAAAA DAC Value                             (RW)
30
--
31 213 jshamlet
-- Revision History
32
-- Author          Date     Change
33
------------------ -------- ---------------------------------------------------
34
-- Seth Henry      06/23/16 Design start
35
-- Seth Henry      04/10/20 Code Cleanup
36 167 jshamlet
 
37
library ieee;
38
use ieee.std_logic_1164.all;
39
use ieee.std_logic_unsigned.all;
40
use ieee.std_logic_arith.all;
41
 
42
library work;
43
  use work.open8_pkg.all;
44
 
45
entity o8_vdsm8 is
46
generic(
47 221 jshamlet
  Default_Value              : DATA_TYPE := x"00";
48 217 jshamlet
  Reset_Level                : std_logic;
49
  Address                    : ADDRESS_TYPE
50 167 jshamlet
);
51
port(
52 217 jshamlet
  Clock                      : in  std_logic;
53
  Reset                      : in  std_logic;
54 167 jshamlet
  --
55 217 jshamlet
  Bus_Address                : in  ADDRESS_TYPE;
56
  Wr_Enable                  : in  std_logic;
57
  Wr_Data                    : in  DATA_TYPE;
58
  Rd_Enable                  : in  std_logic;
59
  Rd_Data                    : out DATA_TYPE;
60 167 jshamlet
  --
61 217 jshamlet
  DACout                     : out std_logic
62 167 jshamlet
);
63
end entity;
64
 
65
architecture behave of o8_vdsm8 is
66
 
67 221 jshamlet
  constant User_Addr         : std_logic_vector(15 downto 0)
68
                               := Address(15 downto 0);
69 217 jshamlet
  alias  Comp_Addr           is Bus_Address(15 downto 0);
70 221 jshamlet
  signal Addr_Match          : std_logic;
71
  signal Wr_En               : std_logic;
72
  signal Wr_Data_q           : DATA_TYPE;
73
  signal Reg_Out             : DATA_TYPE;
74
  signal Rd_En               : std_logic;
75 172 jshamlet
 
76 167 jshamlet
begin
77
 
78 217 jshamlet
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
79 167 jshamlet
 
80
  io_reg: process( Clock, Reset )
81
  begin
82
    if( Reset = Reset_Level )then
83 217 jshamlet
      Wr_En                  <= '0';
84
      Wr_Data_q              <= x"00";
85 221 jshamlet
      Reg_Out                <= Default_Value;
86 217 jshamlet
      Rd_En                  <= '0';
87
      Rd_Data                <= OPEN8_NULLBUS;
88 167 jshamlet
    elsif( rising_edge( Clock ) )then
89 217 jshamlet
      Wr_En                  <= Addr_Match and Wr_Enable;
90
      Wr_Data_q              <= Wr_Data;
91 167 jshamlet
      if( Wr_En = '1' )then
92 221 jshamlet
        Reg_Out              <= Wr_Data_q;
93 172 jshamlet
      end if;
94 167 jshamlet
 
95 217 jshamlet
      Rd_Data                <= OPEN8_NULLBUS;
96
      Rd_En                  <= Addr_Match and Rd_Enable;
97 167 jshamlet
      if( Rd_En = '1' )then
98 221 jshamlet
        Rd_Data              <= Reg_Out;
99 167 jshamlet
      end if;
100
    end if;
101
  end process;
102
 
103 217 jshamlet
  U_DAC : entity work.vdsm8
104
  generic map(
105
    Reset_Level              => Reset_Level
106
  )
107
  port map(
108
    Clock                    => Clock,
109
    Reset                    => Reset,
110 221 jshamlet
    DACin                    => Reg_Out,
111 217 jshamlet
    DACout                   => DACout
112
  );
113 167 jshamlet
 
114
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.