OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [o8_watchdog.vhd] - Blame information for rev 331

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 331 jshamlet
-- Copyright (c)2013, 2020 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF
22
-- THIS SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24
-- VHDL Units :  o8_watchdog
25
-- Description:  Provides a millisecond resolution watchdog timer
26
--
27
-- Register Map:
28
-- Offset  Bitfield Description                        Read/Write
29
--   0x00  AAAAAAAA Watchdog Passcode                     (W*)
30
--
31
-- Notes      :  User code must write the passcode specified in the generic
32
--            :   before expiration to avoid PLL_Locked_Out going low.
33
--
34
-- Revision History
35
-- Author          Date     Change
36
------------------ -------- ---------------------------------------------------
37
-- Seth Henry      10/04/23 Creation
38
 
39
library ieee;
40
use ieee.std_logic_1164.all;
41
  use ieee.std_logic_unsigned.all;
42
  use ieee.std_logic_arith.all;
43
  use ieee.std_logic_misc.all;
44
 
45
library work;
46
  use work.open8_pkg.all;
47
 
48
entity o8_watchdog is
49
generic(
50
  Clock_Frequency            : real;
51
  WDOG_Interval              : integer := 1; -- milliseconds
52
  WDOG_Passcode              : DATA_TYPE := x"21";
53
  Address                    : ADDRESS_TYPE
54
);
55
port(
56
  Open8_Bus                  : in  OPEN8_BUS_TYPE;
57
  --
58
  PLL_Locked_In              : in  std_logic;
59
  PLL_Locked_Out             : out std_logic
60
);
61
end entity;
62
 
63
architecture behave of o8_watchdog is
64
 
65
  alias  Clock               is Open8_Bus.Clock;
66
  alias  Reset               is Open8_Bus.Reset;
67
 
68
  alias  CPU_ISR_En          is Open8_Bus.GP_Flags(EXT_ISR);
69
  alias  CPU_Wr_En           is Open8_Bus.Wr_En;
70
 
71
  constant User_Addr         : std_logic_vector(15 downto 0) :=
72
                                Address(15 downto 0);
73
  alias  Comp_Addr           is Open8_Bus.Address(15 downto 0);
74
  signal Addr_Match          : std_logic := '0';
75
 
76
  signal Wr_En_d             : std_logic;
77
  signal Wr_En_q             : std_logic := '0';
78
  alias  Wr_Data_d           is Open8_Bus.Wr_Data;
79
  signal Wr_Data_q           : DATA_TYPE := x"00";
80
 
81
  signal WDOG_Reset_d        : std_logic;
82
  signal WDOG_Reset          : std_logic := '0';
83
 
84
  signal Timer_Tick          : std_logic := '0';
85
 
86
  constant WDOG_USER         : real := real(WDOG_Interval) * 0.001;
87
  constant WDOG_VAL          : integer := integer(Clock_Frequency * WDOG_USER);
88
  constant WDOG_WDT          : integer := ceil_log2(WDOG_VAL - 1);
89
  constant WDOG_DLY          : std_logic_vector :=
90
                                conv_std_logic_vector(WDOG_VAL - 1, WDOG_WDT);
91
 
92
  signal WDOG_Cntr           : std_logic_vector( WDOG_WDT - 1 downto 0 ) :=
93
                                (others => '0');
94
 
95
  signal WDOG_Expired_SR     : std_logic_vector(3 downto 0) := (others => '0');
96
 
97
begin
98
 
99
  Addr_Match                 <= '1' when Comp_Addr = User_Addr else '0';
100
  Wr_En_d                    <= Addr_Match and CPU_ISR_En and CPU_Wr_En;
101
 
102
  io_reg: process( Clock, Reset )
103
  begin
104
    if( Reset = Reset_Level )then
105
      Wr_En_q                <= '0';
106
      Wr_Data_q              <= x"00";
107
 
108
      WDOG_Reset             <= '0';
109
    elsif( rising_edge( Clock ) )then
110
      Wr_En_q                <= Wr_En_d;
111
      Wr_Data_q              <= Wr_Data_d;
112
 
113
      WDOG_Reset             <= '0';
114
      if( Wr_En_q = '1' and WDOG_Passcode = Wr_Data_q )then
115
        WDOG_Reset           <= '1';
116
      end if;
117
    end if;
118
  end process;
119
 
120
  WDOG_proc: process( Clock, PLL_Locked_In )
121
  begin
122
    if( PLL_Locked_In = '0' )then
123
      WDOG_Cntr              <= WDOG_DLY;
124
      WDOG_Expired_SR        <= (others => '0');
125
      PLL_Locked_Out         <= '0';
126
    elsif( rising_edge( Clock ) )then
127
      WDOG_Cntr              <= WDOG_Cntr - 1;
128
      if( or_reduce(WDOG_Cntr) = '0' or WDOG_Reset = '1' )then
129
        WDOG_Cntr            <= WDOG_DLY;
130
      end if;
131
      WDOG_Expired_SR        <= WDOG_Expired_SR(2 downto 0) &
132
                                or_reduce(WDOG_Cntr);
133
      PLL_Locked_Out         <= and_reduce(WDOG_Expired_SR);
134
    end if;
135
  end process;
136
 
137
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.