OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [VHDL/] [vector_tx.vhd] - Blame information for rev 294

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 240 jshamlet
-- Copyright (c)2020 Jeremy Seth Henry
2
-- All rights reserved.
3
--
4
-- Redistribution and use in source and binary forms, with or without
5
-- modification, are permitted provided that the following conditions are met:
6
--     * Redistributions of source code must retain the above copyright
7
--       notice, this list of conditions and the following disclaimer.
8
--     * Redistributions in binary form must reproduce the above copyright
9
--       notice, this list of conditions and the following disclaimer in the
10
--       documentation and/or other materials provided with the distribution,
11
--       where applicable (as part of a user interface, debugging port, etc.)
12
--
13
-- THIS SOFTWARE IS PROVIDED BY JEREMY SETH HENRY ``AS IS'' AND ANY
14
-- EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE IMPLIED
15
-- WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE ARE
16
-- DISCLAIMED. IN NO EVENT SHALL JEREMY SETH HENRY BE LIABLE FOR ANY
17
-- DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY, OR CONSEQUENTIAL DAMAGES
18
-- (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF SUBSTITUTE GOODS OR SERVICES;
19
-- LOSS OF USE, DATA, OR PROFITS; OR BUSINESS INTERRUPTION) HOWEVER CAUSED AND
20
-- ON ANY THEORY OF LIABILITY, WHETHER IN CONTRACT, STRICT LIABILITY, OR TORT
21
-- (INCLUDING NEGLIGENCE OR OTHERWISE) ARISING IN ANY WAY OUT OF THE USE OF THIS
22
-- SOFTWARE, EVEN IF ADVISED OF THE POSSIBILITY OF SUCH DAMAGE.
23
--
24
-- VHDL Entity: vector_tx
25
-- Description: Reads  the pushbuttons and switches on the DE1-SOC board and
26
--               sends a vector command and argument to a vector_rx receiver
27
--               which executes them in lieu of a parallel controller.
28
--
29
-- Revision History
30
-- Author          Date     Change
31
------------------ -------- ---------------------------------------------------
32
-- Seth Henry      05/06/20 Added version block
33 285 jshamlet
-- Seth Henry      04/07/21 Modified to replace hard-coded blocks with true
34
--                           argument inputs.
35 240 jshamlet
 
36
library ieee;
37
  use ieee.std_logic_1164.all;
38
  use ieee.std_logic_unsigned.all;
39
  use ieee.std_logic_arith.all;
40
  use ieee.std_logic_misc.all;
41
 
42 285 jshamlet
library work;
43
  use work.open8_pkg.all;
44
 
45 240 jshamlet
entity vector_tx is
46
generic(
47
  Button_Level               : std_logic;
48
  Bit_Rate                   : real;
49
  Enable_Parity              : boolean;
50
  Parity_Odd_Even_n          : std_logic;
51
  Sys_Freq                   : real;
52
  Reset_Level                : std_logic
53
);
54
port(
55
  Clock                      : in  std_logic;
56
  Reset                      : in  std_logic;
57
  --
58 285 jshamlet
  Tx_Enable                  : in  std_logic;
59
  Tx_Command                 : in  DATA_TYPE;
60
  Tx_Arg_Lower               : in  DATA_TYPE;
61
  Tx_Arg_Upper               : in  DATA_TYPE;
62 240 jshamlet
  --
63 285 jshamlet
  Tx_Busy                    : out std_logic;
64
  --
65
  Tx_Out                     : out std_logic;
66
  Tx_FC                      : in  std_logic := '1'
67 240 jshamlet
);
68
end entity;
69
 
70
architecture behave of vector_tx is
71
 
72 285 jshamlet
  signal Command_Buffer      : DATA_TYPE := x"00";
73
  signal Arg_Lower_Buffer    : DATA_TYPE := x"00";
74
  signal Arg_Upper_Buffer    : DATA_TYPE := x"00";
75 240 jshamlet
 
76 285 jshamlet
  type VECTOR_TX_STATES is (IDLE,
77
                            SEND_CMD, WAIT_CMD,
78
                            SEND_ARG_LB, WAIT_ARG_LB,
79
                            SEND_ARG_UB, WAIT_ARG_UB,
80
                            SEND_SUM, WAIT_SUM );
81 240 jshamlet
  signal Vector_State        : VECTOR_TX_STATES := IDLE;
82
 
83
  constant BAUD_RATE_DIV     : integer := integer(Sys_Freq / Bit_Rate);
84
 
85 285 jshamlet
  constant MAGIC_NUM         : DATA_TYPE := x"4D";
86
  signal Checksum            : DATA_TYPE := x"00";
87
 
88
  signal Tx_Data             : DATA_TYPE := x"00";
89 240 jshamlet
  signal Tx_Valid            : std_logic := '0';
90
  signal Tx_Done             : std_logic := '0';
91
 
92
begin
93
 
94
  TX_FSM_proc: process( Clock, Reset )
95
  begin
96
    if( Reset = Reset_Level )then
97
      Vector_State           <= IDLE;
98 285 jshamlet
      Command_Buffer         <= x"00";
99
      Arg_Lower_Buffer       <= x"00";
100
      Arg_Upper_Buffer       <= x"00";
101
      Tx_Busy                <= '0';
102 240 jshamlet
      Tx_Data                <= x"00";
103
      Tx_Valid               <= '0';
104
    elsif( rising_edge(Clock) )then
105 285 jshamlet
      Tx_Busy                <= '1';
106 240 jshamlet
      Tx_Data                <= x"00";
107
      Tx_Valid               <= '0';
108
      case( Vector_State )is
109
        when IDLE =>
110 285 jshamlet
          Tx_Busy            <= '0';
111
          Checksum           <= MAGIC_NUM;
112
          if( Tx_Enable = '1' )then
113
            Command_Buffer   <= Tx_Command;
114
            Arg_Lower_Buffer <= Tx_Arg_Lower;
115
            Arg_Upper_Buffer <= Tx_Arg_Upper;
116 240 jshamlet
            Vector_State     <= SEND_CMD;
117
          end if;
118
 
119
        when SEND_CMD =>
120 285 jshamlet
          Tx_Data            <= Command_Buffer;
121 240 jshamlet
          Tx_Valid           <= '1';
122 285 jshamlet
          Checksum           <= Checksum + Command_Buffer;
123 240 jshamlet
          Vector_State       <= WAIT_CMD;
124
 
125
        when WAIT_CMD =>
126
          if( Tx_Done = '1' )then
127
            Vector_State     <= SEND_ARG_LB;
128
          end if;
129
 
130
        when SEND_ARG_LB =>
131 285 jshamlet
          Tx_Data            <= Arg_Lower_Buffer;
132 240 jshamlet
          Tx_Valid           <= '1';
133 285 jshamlet
          Checksum           <= Checksum + Arg_Lower_Buffer;
134 240 jshamlet
          Vector_State       <= WAIT_ARG_LB;
135
 
136
        when WAIT_ARG_LB =>
137
          if( Tx_Done = '1' )then
138
            Vector_State     <= SEND_ARG_UB;
139
          end if;
140
 
141
        when SEND_ARG_UB =>
142 285 jshamlet
          Tx_Data            <= Arg_Upper_Buffer;
143 240 jshamlet
          Tx_Valid           <= '1';
144 285 jshamlet
          Checksum           <= Checksum + Arg_Upper_Buffer;
145 240 jshamlet
          Vector_State       <= WAIT_ARG_UB;
146
 
147
        when WAIT_ARG_UB =>
148
          if( Tx_Done = '1' )then
149 285 jshamlet
            Vector_State     <= SEND_SUM;
150
          end if;
151
 
152
        when SEND_SUM =>
153
          Tx_Data            <= Checksum;
154
          Tx_Valid           <= '1';
155
          Vector_State       <= WAIT_SUM;
156
 
157
        when WAIT_SUM =>
158
          if( Tx_Done = '1' )then
159 240 jshamlet
            Vector_State     <= IDLE;
160
          end if;
161
 
162
      end case;
163
    end if;
164
  end process;
165
 
166
  U_TX : entity work.async_ser_tx
167
  generic map(
168
    Reset_Level              => Reset_Level,
169
    Enable_Parity            => Enable_Parity,
170
    Parity_Odd_Even_n        => Parity_Odd_Even_n,
171
    Clock_Divider            => BAUD_RATE_DIV
172
  )
173
  port map(
174
    Clock                    => Clock,
175
    Reset                    => Reset,
176
    --
177
    Tx_Data                  => Tx_Data,
178
    Tx_Valid                 => Tx_Valid,
179
    --
180
    Tx_Out                   => Tx_Out,
181
    Tx_Done                  => Tx_Done
182
  );
183
 
184
end architecture;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.