OpenCores
URL https://opencores.org/ocsvn/open8_urisc/open8_urisc/trunk

Subversion Repositories open8_urisc

[/] [open8_urisc/] [trunk/] [gnu/] [binutils/] [ld/] [testsuite/] [ld-tic6x/] [static-app-1b.dd] - Blame information for rev 117

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 117 khays
 
2
tmpdir/static-app-1b:     file format elf32-tic6x-be
3
 
4
 
5
Disassembly of section \.text:
6
 
7
10000000 :
8
10000000:[ \t]*000c0362[ \t]*b \.S2 b3
9
10000004:[ \t]*00008000[ \t]*nop 5
10
 
11
10000008 :
12
10000008:[ \t]*07be09c2[ \t]*sub \.D2 b15,16,b15
13
1000000c:[ \t]*01bc62f6[ \t]*stw \.D2T2 b3,\*\+b15\(12\)
14
10000010:[ \t]*073c82f6[ \t]*stw \.D2T2 b14,\*\+b15\(16\)
15
10000014:[ \t]*0700006e[ \t]*ldw \.D2T2 \*\+b14\(0\),b14
16
10000018:[ \t]*00000812[ \t]*b \.S2 10000040 
17
1000001c:[ \t]*00000112[ \t]*b \.S2 10000008 
18
10000020:[ \t]*0ffffc12[ \t]*b \.S2 10000000 
19
10000024:[ \t]*01bc62e6[ \t]*ldw \.D2T2 \*\+b15\(12\),b3
20
10000028:[ \t]*073c82e6[ \t]*ldw \.D2T2 \*\+b15\(16\),b14
21
1000002c:[ \t]*07800852[ \t]*addk \.S2 16,b15
22
10000030:[ \t]*00004000[ \t]*nop 3
23
10000034:[ \t]*000c0362[ \t]*b \.S2 b3
24
10000038:[ \t]*00008000[ \t]*nop 5
25
1000003c:[ \t]*00000000[ \t]*nop 1
26
 
27
10000040 :
28
10000040:[ \t]*07be09c2[ \t]*sub \.D2 b15,16,b15
29
10000044:[ \t]*023c62f4[ \t]*stw \.D2T1 a4,\*\+b15\(12\)
30
10000048:[ \t]*003c62e4[ \t]*ldw \.D2T1 \*\+b15\(12\),a0
31
1000004c:[ \t]*00006000[ \t]*nop 4
32
10000050:[ \t]*00014940[ \t]*add \.D1 a0,10,a0
33
10000054:[ \t]*020008f0[ \t]*or \.D1 0,a0,a4
34
10000058:[ \t]*07be0942[ \t]*add \.D2 b15,16,b15
35
1000005c:[ \t]*000c0362[ \t]*b \.S2 b3
36
10000060:[ \t]*0300076e[ \t]*ldw \.D2T2 \*\+b14\(28\),b6
37
10000064:[ \t]*0380056e[ \t]*ldw \.D2T2 \*\+b14\(20\),b7
38
10000068:[ \t]*0400066e[ \t]*ldw \.D2T2 \*\+b14\(24\),b8
39
1000006c:[ \t]*04800d6e[ \t]*ldw \.D2T2 \*\+b14\(52\),b9
40
[ \t]*\.\.\.
41
 
42
10000080 :
43
10000080:[ \t]*0700006e[ \t]*ldw \.D2T2 \*\+b14\(0\),b14
44
10000084:[ \t]*1ffff112[ \t]*callp \.S2 10000008 ,b3
45
10000088:[ \t]*0ffff112[ \t]*b \.S2 10000008 
46
1000008c:[ \t]*10000012[ \t]*callp \.S2 10000080 ,b3
47
10000090:[ \t]*00000012[ \t]*b \.S2 10000080 
48
10000094:[ \t]*0200086e[ \t]*ldw \.D2T2 \*\+b14\(32\),b4
49
10000098:[ \t]*02800a6e[ \t]*ldw \.D2T2 \*\+b14\(40\),b5
50
1000009c:[ \t]*0300076e[ \t]*ldw \.D2T2 \*\+b14\(28\),b6
51
100000a0:[ \t]*0300056e[ \t]*ldw \.D2T2 \*\+b14\(20\),b6
52
100000a4:[ \t]*020003a8[ \t]*mvk \.S1 7,a4
53
100000a8:[ \t]*02000068[ \t]*mvkh \.S1 0,a4
54
100000ac:[ \t]*028002a8[ \t]*mvk \.S1 5,a5
55
100000b0:[ \t]*02800068[ \t]*mvkh \.S1 0,a5
56
[ \t]*\.\.\.

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.