OpenCores
URL https://opencores.org/ocsvn/open_hitter/open_hitter/trunk

Subversion Repositories open_hitter

[/] [open_hitter/] [trunk/] [bench/] [vhdl/] [hitter_wrapper.vhd] - Blame information for rev 2

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 stvhawes
-- hitter_wrapper.vhd
2
-- Synthesizable wrapper, exercise wrapper with NSEW buttons and LEDs to report on fpga dev board
3
-- target env: Xilinx Virtex 6 / ML605
4
--
5
-- <LGPL Required>
6
-- <History from svn, link required>
7
 
8
library ieee;
9
use ieee.std_logic_1164.all;
10
--use ieee.std_logic_arith.all;
11
--library unisim;
12
--use unisim.vcomponents.all;
13
 
14
 
15
entity hitter_wrapper is
16
port (
17
        PUSH_BUTTONS_5BITS_TRI_I: in std_logic_vector(4 downto 0);
18
        LEDS_POSITIONS_TRI_O: out std_logic_vector(4 downto 0)
19
);
20
end hitter_wrapper;
21
 
22
architecture implementation of hitter_wrapper is
23
begin
24
        LEDS_POSITIONS_TRI_O(0) <= PUSH_BUTTONS_5BITS_TRI_I(1);
25
        LEDS_POSITIONS_TRI_O(1) <= PUSH_BUTTONS_5BITS_TRI_I(2);
26
        LEDS_POSITIONS_TRI_O(2) <= PUSH_BUTTONS_5BITS_TRI_I(3);
27
        LEDS_POSITIONS_TRI_O(3) <= PUSH_BUTTONS_5BITS_TRI_I(4);
28
        LEDS_POSITIONS_TRI_O(4) <= PUSH_BUTTONS_5BITS_TRI_I(0);
29
 
30
end implementation;
31
 
32
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.