OpenCores
URL https://opencores.org/ocsvn/openarty/openarty/trunk

Subversion Repositories openarty

[/] [openarty/] [trunk/] [arty.xdc] - Blame information for rev 23

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 5 dgisselq
## This file is a general .xdc for the ARTY Rev. B
2
## To use it in a project:
3
## - uncomment the lines corresponding to used pins
4
## - rename the used ports (in each line, after get_ports) according to the top level signal names in the project
5
 
6
# Config setup
7
set_property CFGBVS VCCO [current_design]
8
set_property CONFIG_VOLTAGE 3.3 [current_design]
9
 
10
## Clock signal
11
 
12 23 dgisselq
set_property PACKAGE_PIN E3 [get_ports i_clk_100mhz]
13
set_property IOSTANDARD LVCMOS33 [get_ports i_clk_100mhz]
14
create_clock -period 10.000 -name sys_clk_pin -waveform {0.000 5.000} -add [get_ports i_clk_100mhz]
15 5 dgisselq
 
16
##Switches
17
 
18 23 dgisselq
set_property PACKAGE_PIN A8 [get_ports {i_sw[0]}]
19
set_property IOSTANDARD LVCMOS33 [get_ports {i_sw[0]}]
20
set_property PACKAGE_PIN C11 [get_ports {i_sw[1]}]
21
set_property IOSTANDARD LVCMOS33 [get_ports {i_sw[1]}]
22
set_property PACKAGE_PIN C10 [get_ports {i_sw[2]}]
23
set_property IOSTANDARD LVCMOS33 [get_ports {i_sw[2]}]
24
set_property PACKAGE_PIN A10 [get_ports {i_sw[3]}]
25
set_property IOSTANDARD LVCMOS33 [get_ports {i_sw[3]}]
26 5 dgisselq
 
27
##RGB LEDs
28
 
29 23 dgisselq
set_property PACKAGE_PIN E1 [get_ports {o_clr_led0[0]}]
30
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led0[0]}]
31
set_property PACKAGE_PIN F6 [get_ports {o_clr_led0[1]}]
32
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led0[1]}]
33
set_property PACKAGE_PIN G6 [get_ports {o_clr_led0[2]}]
34
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led0[2]}]
35
set_property PACKAGE_PIN G4 [get_ports {o_clr_led1[0]}]
36
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led1[0]}]
37
set_property PACKAGE_PIN J4 [get_ports {o_clr_led1[1]}]
38
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led1[1]}]
39
set_property PACKAGE_PIN G3 [get_ports {o_clr_led1[2]}]
40
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led1[2]}]
41
set_property PACKAGE_PIN H4 [get_ports {o_clr_led2[0]}]
42
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led2[0]}]
43
set_property PACKAGE_PIN J2 [get_ports {o_clr_led2[1]}]
44
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led2[1]}]
45
set_property PACKAGE_PIN J3 [get_ports {o_clr_led2[2]}]
46
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led2[2]}]
47
set_property PACKAGE_PIN K2 [get_ports {o_clr_led3[0]}]
48
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led3[0]}]
49
set_property PACKAGE_PIN H6 [get_ports {o_clr_led3[1]}]
50
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led3[1]}]
51
set_property PACKAGE_PIN K1 [get_ports {o_clr_led3[2]}]
52
set_property IOSTANDARD LVCMOS33 [get_ports {o_clr_led3[2]}]
53 5 dgisselq
 
54
##LEDs
55
 
56 23 dgisselq
set_property PACKAGE_PIN H5 [get_ports {o_led[0]}]
57
set_property IOSTANDARD LVCMOS33 [get_ports {o_led[0]}]
58
set_property PACKAGE_PIN J5 [get_ports {o_led[1]}]
59
set_property IOSTANDARD LVCMOS33 [get_ports {o_led[1]}]
60
set_property PACKAGE_PIN T9 [get_ports {o_led[2]}]
61
set_property IOSTANDARD LVCMOS33 [get_ports {o_led[2]}]
62
set_property PACKAGE_PIN T10 [get_ports {o_led[3]}]
63
set_property IOSTANDARD LVCMOS33 [get_ports {o_led[3]}]
64 5 dgisselq
 
65
##Buttons
66
 
67 23 dgisselq
set_property PACKAGE_PIN D9 [get_ports {i_btn[0]}]
68
set_property IOSTANDARD LVCMOS33 [get_ports {i_btn[0]}]
69
set_property PACKAGE_PIN C9 [get_ports {i_btn[1]}]
70
set_property IOSTANDARD LVCMOS33 [get_ports {i_btn[1]}]
71
set_property PACKAGE_PIN B9 [get_ports {i_btn[2]}]
72
set_property IOSTANDARD LVCMOS33 [get_ports {i_btn[2]}]
73
set_property PACKAGE_PIN B8 [get_ports {i_btn[3]}]
74
set_property IOSTANDARD LVCMOS33 [get_ports {i_btn[3]}]
75 5 dgisselq
 
76
##Pmod Header JA: PModCLS (bottom)
77
 
78
#set_property -dict { PACKAGE_PIN G13   IOSTANDARD LVCMOS33 } [get_ports { ja[0] }]; #IO_0_15 Sch=ja[1]
79
#set_property -dict { PACKAGE_PIN B11   IOSTANDARD LVCMOS33 } [get_ports { ja[1] }]; #IO_L4P_T0_15 Sch=ja[2]
80
#set_property -dict { PACKAGE_PIN A11   IOSTANDARD LVCMOS33 } [get_ports { ja[2] }]; #IO_L4N_T0_15 Sch=ja[3]
81
#set_property -dict { PACKAGE_PIN D12   IOSTANDARD LVCMOS33 } [get_ports { ja[3] }]; #IO_L6P_T0_15 Sch=ja[4]
82
#-- set_property -dict { PACKAGE_PIN D13   IOSTANDARD LVCMOS33 } [get_ports { o_cls_ss_n }]; #IO_L6N_T0_VREF_15 Sch=ja[7]
83
#-- set_property -dict { PACKAGE_PIN B18   IOSTANDARD LVCMOS33 } [get_ports { o_cls_mosi }]; #IO_L10P_T1_AD11P_15 Sch=ja[8]
84
#-- set_property -dict { PACKAGE_PIN A18   IOSTANDARD LVCMOS33 } [get_ports { i_cls_miso }]; #IO_L10N_T1_AD11N_15 Sch=ja[9]
85
#-- set_property -dict { PACKAGE_PIN K16   IOSTANDARD LVCMOS33 } [get_ports { o_cls_sck }]; #IO_25_15 Sch=ja[10]
86
 
87
##Pmod Header JB: OLEDrgb
88
 
89 23 dgisselq
set_property PACKAGE_PIN E15 [get_ports o_oled_cs_n]
90
set_property IOSTANDARD LVCMOS33 [get_ports o_oled_cs_n]
91
set_property PACKAGE_PIN E16 [get_ports o_oled_mosi]
92
set_property IOSTANDARD LVCMOS33 [get_ports o_oled_mosi]
93 5 dgisselq
#set_property -dict { PACKAGE_PIN D15   IOSTANDARD LVCMOS33 } [get_ports { i_oled_nc }]; #IO_L12P_T1_MRCC_15 Sch=jb_p[2]
94 23 dgisselq
set_property PACKAGE_PIN C15 [get_ports o_oled_sck]
95
set_property IOSTANDARD LVCMOS33 [get_ports o_oled_sck]
96
set_property PACKAGE_PIN J17 [get_ports o_oled_dcn]
97
set_property IOSTANDARD LVCMOS33 [get_ports o_oled_dcn]
98
set_property PACKAGE_PIN J18 [get_ports o_oled_reset_n]
99
set_property IOSTANDARD LVCMOS33 [get_ports o_oled_reset_n]
100
set_property PACKAGE_PIN K15 [get_ports o_oled_vccen]
101
set_property IOSTANDARD LVCMOS33 [get_ports o_oled_vccen]
102
set_property PACKAGE_PIN J15 [get_ports o_oled_pmoden]
103
set_property IOSTANDARD LVCMOS33 [get_ports o_oled_pmoden]
104 5 dgisselq
 
105
##Pmod Header JC: GPS (top), UART (bottom)
106
 
107 23 dgisselq
set_property PACKAGE_PIN U12 [get_ports i_gps_3df]
108
set_property IOSTANDARD LVCMOS33 [get_ports i_gps_3df]
109
set_property PACKAGE_PIN V12 [get_ports o_gps_tx]
110
set_property IOSTANDARD LVCMOS33 [get_ports o_gps_tx]
111
set_property PACKAGE_PIN V10 [get_ports i_gps_rx]
112
set_property IOSTANDARD LVCMOS33 [get_ports i_gps_rx]
113
set_property PACKAGE_PIN V11 [get_ports i_gps_pps]
114
set_property IOSTANDARD LVCMOS33 [get_ports i_gps_pps]
115
set_property PACKAGE_PIN U14 [get_ports i_aux_rts]
116
set_property IOSTANDARD LVCMOS33 [get_ports i_aux_rts]
117
set_property PACKAGE_PIN V14 [get_ports o_aux_tx]
118
set_property IOSTANDARD LVCMOS33 [get_ports o_aux_tx]
119
set_property PACKAGE_PIN T13 [get_ports i_aux_rx]
120
set_property IOSTANDARD LVCMOS33 [get_ports i_aux_rx]
121
set_property PACKAGE_PIN U13 [get_ports o_aux_cts]
122
set_property IOSTANDARD LVCMOS33 [get_ports o_aux_cts]
123 5 dgisselq
 
124
##Pmod Header JD: SD-Card
125
 
126 23 dgisselq
set_property PACKAGE_PIN D4 [get_ports {io_sd[3]}]
127
set_property IOSTANDARD LVCMOS33 [get_ports {io_sd[3]}]
128
set_property PACKAGE_PIN D3 [get_ports io_sd_cmd]
129
set_property IOSTANDARD LVCMOS33 [get_ports io_sd_cmd]
130
set_property PACKAGE_PIN F4 [get_ports {io_sd[0]}]
131
set_property IOSTANDARD LVCMOS33 [get_ports {io_sd[0]}]
132
set_property PACKAGE_PIN F3 [get_ports o_sd_sck]
133
set_property IOSTANDARD LVCMOS33 [get_ports o_sd_sck]
134
set_property PACKAGE_PIN E2 [get_ports {io_sd[1]}]
135
set_property IOSTANDARD LVCMOS33 [get_ports {io_sd[1]}]
136
set_property PACKAGE_PIN D2 [get_ports {io_sd[2]}]
137
set_property IOSTANDARD LVCMOS33 [get_ports {io_sd[2]}]
138
set_property PACKAGE_PIN H2 [get_ports i_sd_cs]
139
set_property IOSTANDARD LVCMOS33 [get_ports i_sd_cs]
140
set_property PACKAGE_PIN G2 [get_ports i_sd_wp]
141
set_property IOSTANDARD LVCMOS33 [get_ports i_sd_wp]
142 5 dgisselq
 
143
##USB-UART Interface
144
# THESE ARE CORRECT
145 23 dgisselq
set_property PACKAGE_PIN D10 [get_ports o_uart_tx]
146
set_property IOSTANDARD LVCMOS33 [get_ports o_uart_tx]
147
set_property PACKAGE_PIN A9 [get_ports i_uart_rx]
148
set_property IOSTANDARD LVCMOS33 [get_ports i_uart_rx]
149 5 dgisselq
#
150
 
151
##ChipKit Single Ended Analog Inputs
152 23 dgisselq
##NOTE: The ck_an_p pins can be used as single ended analog inputs with voltages from 0-3.3V (Chipkit Analog pins A0-A5).
153 5 dgisselq
##      These signals should only be connected to the XADC core. When using these pins as digital I/O, use pins ck_io[14-19].
154
 
155
#set_property -dict { PACKAGE_PIN C5    IOSTANDARD LVCMOS33 } [get_ports { ck_an_n[0] }]; #IO_L1N_T0_AD4N_35 Sch=ck_an_n[0]
156
#set_property -dict { PACKAGE_PIN C6    IOSTANDARD LVCMOS33 } [get_ports { ck_an_p[0] }]; #IO_L1P_T0_AD4P_35 Sch=ck_an_p[0]
157
#set_property -dict { PACKAGE_PIN A5    IOSTANDARD LVCMOS33 } [get_ports { ck_an_n[1] }]; #IO_L3N_T0_DQS_AD5N_35 Sch=ck_an_n[1]
158
#set_property -dict { PACKAGE_PIN A6    IOSTANDARD LVCMOS33 } [get_ports { ck_an_p[1] }]; #IO_L3P_T0_DQS_AD5P_35 Sch=ck_an_p[1]
159
#set_property -dict { PACKAGE_PIN B4    IOSTANDARD LVCMOS33 } [get_ports { ck_an_n[2] }]; #IO_L7N_T1_AD6N_35 Sch=ck_an_n[2]
160
#set_property -dict { PACKAGE_PIN C4    IOSTANDARD LVCMOS33 } [get_ports { ck_an_p[2] }]; #IO_L7P_T1_AD6P_35 Sch=ck_an_p[2]
161
#set_property -dict { PACKAGE_PIN A1    IOSTANDARD LVCMOS33 } [get_ports { ck_an_n[3] }]; #IO_L9N_T1_DQS_AD7N_35 Sch=ck_an_n[3]
162
#set_property -dict { PACKAGE_PIN B1    IOSTANDARD LVCMOS33 } [get_ports { ck_an_p[3] }]; #IO_L9P_T1_DQS_AD7P_35 Sch=ck_an_p[3]
163
#set_property -dict { PACKAGE_PIN B2    IOSTANDARD LVCMOS33 } [get_ports { ck_an_n[4] }]; #IO_L10N_T1_AD15N_35 Sch=ck_an_n[4]
164
#set_property -dict { PACKAGE_PIN B3    IOSTANDARD LVCMOS33 } [get_ports { ck_an_p[4] }]; #IO_L10P_T1_AD15P_35 Sch=ck_an_p[4]
165
#set_property -dict { PACKAGE_PIN C14   IOSTANDARD LVCMOS33 } [get_ports { ck_an_n[5] }]; #IO_L1N_T0_AD0N_15 Sch=ck_an_n[5]
166
#set_property -dict { PACKAGE_PIN D14   IOSTANDARD LVCMOS33 } [get_ports { ck_an_p[5] }]; #IO_L1P_T0_AD0P_15 Sch=ck_an_p[5]
167
 
168
##ChipKit Digital I/O Low
169
 
170
#set_property -dict { PACKAGE_PIN V15   IOSTANDARD LVCMOS33 } [get_ports { ck_io[0] }]; #IO_L16P_T2_CSI_B_14 Sch=ck_io[0]
171
#set_property -dict { PACKAGE_PIN U16   IOSTANDARD LVCMOS33 } [get_ports { ck_io[1] }]; #IO_L18P_T2_A12_D28_14 Sch=ck_io[1]
172
#set_property -dict { PACKAGE_PIN P14   IOSTANDARD LVCMOS33 } [get_ports { ck_io[2] }]; #IO_L8N_T1_D12_14 Sch=ck_io[2]
173
#set_property -dict { PACKAGE_PIN T11   IOSTANDARD LVCMOS33 } [get_ports { ck_io[3] }]; #IO_L19P_T3_A10_D26_14 Sch=ck_io[3]
174
#set_property -dict { PACKAGE_PIN R12   IOSTANDARD LVCMOS33 } [get_ports { ck_io[4] }]; #IO_L5P_T0_D06_14 Sch=ck_io[4]
175
#set_property -dict { PACKAGE_PIN T14   IOSTANDARD LVCMOS33 } [get_ports { ck_io[5] }]; #IO_L14P_T2_SRCC_14 Sch=ck_io[5]
176
#set_property -dict { PACKAGE_PIN T15   IOSTANDARD LVCMOS33 } [get_ports { ck_io[6] }]; #IO_L14N_T2_SRCC_14 Sch=ck_io[6]
177
#set_property -dict { PACKAGE_PIN T16   IOSTANDARD LVCMOS33 } [get_ports { ck_io[7] }]; #IO_L15N_T2_DQS_DOUT_CSO_B_14 Sch=ck_io[7]
178
#set_property -dict { PACKAGE_PIN N15   IOSTANDARD LVCMOS33 } [get_ports { ck_io[8] }]; #IO_L11P_T1_SRCC_14 Sch=ck_io[8]
179
#set_property -dict { PACKAGE_PIN M16   IOSTANDARD LVCMOS33 } [get_ports { ck_io[9] }]; #IO_L10P_T1_D14_14 Sch=ck_io[9]
180
#set_property -dict { PACKAGE_PIN V17   IOSTANDARD LVCMOS33 } [get_ports { ck_io[10] }]; #IO_L18N_T2_A11_D27_14 Sch=ck_io[10]
181
#set_property -dict { PACKAGE_PIN U18   IOSTANDARD LVCMOS33 } [get_ports { ck_io[11] }]; #IO_L17N_T2_A13_D29_14 Sch=ck_io[11]
182
#set_property -dict { PACKAGE_PIN R17   IOSTANDARD LVCMOS33 } [get_ports { ck_io[12] }]; #IO_L12N_T1_MRCC_14 Sch=ck_io[12]
183
#set_property -dict { PACKAGE_PIN P17   IOSTANDARD LVCMOS33 } [get_ports { ck_io[13] }]; #IO_L12P_T1_MRCC_14 Sch=ck_io[13]
184
 
185
##ChipKit Digital I/O On Outer Analog Header
186
##NOTE: These pins should be used when using the analog header signals A0-A5 as digital I/O (Chipkit digital pins 14-19)
187
 
188
#set_property -dict { PACKAGE_PIN F5    IOSTANDARD LVCMOS33 } [get_ports { ck_io[14] }]; #IO_0_35 Sch=ck_a[0]
189
#set_property -dict { PACKAGE_PIN D8    IOSTANDARD LVCMOS33 } [get_ports { ck_io[15] }]; #IO_L4P_T0_35 Sch=ck_a[1]
190
#set_property -dict { PACKAGE_PIN C7    IOSTANDARD LVCMOS33 } [get_ports { ck_io[16] }]; #IO_L4N_T0_35 Sch=ck_a[2]
191
#set_property -dict { PACKAGE_PIN E7    IOSTANDARD LVCMOS33 } [get_ports { ck_io[17] }]; #IO_L6P_T0_35 Sch=ck_a[3]
192
#set_property -dict { PACKAGE_PIN D7    IOSTANDARD LVCMOS33 } [get_ports { ck_io[18] }]; #IO_L6N_T0_VREF_35 Sch=ck_a[4]
193
#set_property -dict { PACKAGE_PIN D5    IOSTANDARD LVCMOS33 } [get_ports { ck_io[19] }]; #IO_L11P_T1_SRCC_35 Sch=ck_a[5]
194
 
195
##ChipKit Digital I/O On Inner Analog Header
196
##NOTE: These pins will need to be connected to the XADC core when used as differential analog inputs (Chipkit analog pins A6-A11)
197
 
198
#set_property -dict { PACKAGE_PIN B7    IOSTANDARD LVCMOS33 } [get_ports { ck_io[20] }]; #IO_L2P_T0_AD12P_35 Sch=ad_p[12]
199
#set_property -dict { PACKAGE_PIN B6    IOSTANDARD LVCMOS33 } [get_ports { ck_io[21] }]; #IO_L2N_T0_AD12N_35 Sch=ad_n[12]
200
#set_property -dict { PACKAGE_PIN E6    IOSTANDARD LVCMOS33 } [get_ports { ck_io[22] }]; #IO_L5P_T0_AD13P_35 Sch=ad_p[13]
201
#set_property -dict { PACKAGE_PIN E5    IOSTANDARD LVCMOS33 } [get_ports { ck_io[23] }]; #IO_L5N_T0_AD13N_35 Sch=ad_n[13]
202
#set_property -dict { PACKAGE_PIN A4    IOSTANDARD LVCMOS33 } [get_ports { ck_io[24] }]; #IO_L8P_T1_AD14P_35 Sch=ad_p[14]
203
#set_property -dict { PACKAGE_PIN A3    IOSTANDARD LVCMOS33 } [get_ports { ck_io[25] }]; #IO_L8N_T1_AD14N_35 Sch=ad_n[14]
204
 
205
##ChipKit Digital I/O High
206
 
207
#set_property -dict { PACKAGE_PIN U11   IOSTANDARD LVCMOS33 } [get_ports { ck_io[26] }]; #IO_L19N_T3_A09_D25_VREF_14 Sch=ck_io[26]
208
#set_property -dict { PACKAGE_PIN V16   IOSTANDARD LVCMOS33 } [get_ports { ck_io[27] }]; #IO_L16N_T2_A15_D31_14 Sch=ck_io[27]
209
#set_property -dict { PACKAGE_PIN M13   IOSTANDARD LVCMOS33 } [get_ports { ck_io[28] }]; #IO_L6N_T0_D08_VREF_14 Sch=ck_io[28]
210
#set_property -dict { PACKAGE_PIN R10   IOSTANDARD LVCMOS33 } [get_ports { ck_io[29] }]; #IO_25_14 Sch=ck_io[29]
211
#set_property -dict { PACKAGE_PIN R11   IOSTANDARD LVCMOS33 } [get_ports { ck_io[30] }]; #IO_0_14 Sch=ck_io[30]
212
#set_property -dict { PACKAGE_PIN R13   IOSTANDARD LVCMOS33 } [get_ports { ck_io[31] }]; #IO_L5N_T0_D07_14 Sch=ck_io[31]
213
#set_property -dict { PACKAGE_PIN R15   IOSTANDARD LVCMOS33 } [get_ports { ck_io[32] }]; #IO_L13N_T2_MRCC_14 Sch=ck_io[32]
214
#set_property -dict { PACKAGE_PIN P15   IOSTANDARD LVCMOS33 } [get_ports { ck_io[33] }]; #IO_L13P_T2_MRCC_14 Sch=ck_io[33]
215
#set_property -dict { PACKAGE_PIN R16   IOSTANDARD LVCMOS33 } [get_ports { ck_io[34] }]; #IO_L15P_T2_DQS_RDWR_B_14 Sch=ck_io[34]
216
#set_property -dict { PACKAGE_PIN N16   IOSTANDARD LVCMOS33 } [get_ports { ck_io[35] }]; #IO_L11N_T1_SRCC_14 Sch=ck_io[35]
217
#set_property -dict { PACKAGE_PIN N14   IOSTANDARD LVCMOS33 } [get_ports { ck_io[36] }]; #IO_L8P_T1_D11_14 Sch=ck_io[36]
218
#set_property -dict { PACKAGE_PIN U17   IOSTANDARD LVCMOS33 } [get_ports { ck_io[37] }]; #IO_L17P_T2_A14_D30_14 Sch=ck_io[37]
219
#set_property -dict { PACKAGE_PIN T18   IOSTANDARD LVCMOS33 } [get_ports { ck_io[38] }]; #IO_L7N_T1_D10_14 Sch=ck_io[38]
220
#set_property -dict { PACKAGE_PIN R18   IOSTANDARD LVCMOS33 } [get_ports { ck_io[39] }]; #IO_L7P_T1_D09_14 Sch=ck_io[39]
221
#set_property -dict { PACKAGE_PIN P18   IOSTANDARD LVCMOS33 } [get_ports { ck_io[40] }]; #IO_L9N_T1_DQS_D13_14 Sch=ck_io[40]
222
#set_property -dict { PACKAGE_PIN N17   IOSTANDARD LVCMOS33 } [get_ports { ck_io[41] }]; #IO_L9P_T1_DQS_14 Sch=ck_io[41]
223
 
224
## ChipKit SPI
225
 
226
#set_property -dict { PACKAGE_PIN G1    IOSTANDARD LVCMOS33 } [get_ports { ck_miso }]; #IO_L17N_T2_35 Sch=ck_miso
227
#set_property -dict { PACKAGE_PIN H1    IOSTANDARD LVCMOS33 } [get_ports { ck_mosi }]; #IO_L17P_T2_35 Sch=ck_mosi
228
#set_property -dict { PACKAGE_PIN F1    IOSTANDARD LVCMOS33 } [get_ports { ck_sck }]; #IO_L18P_T2_35 Sch=ck_sck
229
#set_property -dict { PACKAGE_PIN C1    IOSTANDARD LVCMOS33 } [get_ports { ck_ss }]; #IO_L16N_T2_35 Sch=ck_ss
230
 
231
## ChipKit I2C
232
 
233
#set_property -dict { PACKAGE_PIN L18   IOSTANDARD LVCMOS33 } [get_ports { ck_scl }]; #IO_L4P_T0_D04_14 Sch=ck_scl
234
#set_property -dict { PACKAGE_PIN M18   IOSTANDARD LVCMOS33 } [get_ports { ck_sda }]; #IO_L4N_T0_D05_14 Sch=ck_sda
235
#set_property -dict { PACKAGE_PIN A14   IOSTANDARD LVCMOS33 } [get_ports { scl_pup }]; #IO_L9N_T1_DQS_AD3N_15 Sch=scl_pup
236
#set_property -dict { PACKAGE_PIN A13   IOSTANDARD LVCMOS33 } [get_ports { sda_pup }]; #IO_L9P_T1_DQS_AD3P_15 Sch=sda_pup
237
 
238
##Misc. ChipKit signals
239
 
240
#set_property -dict { PACKAGE_PIN M17   IOSTANDARD LVCMOS33 } [get_ports { ck_ioa }]; #IO_L10N_T1_D15_14 Sch=ck_ioa
241 23 dgisselq
set_property PACKAGE_PIN C2 [get_ports i_reset_btn]
242
set_property IOSTANDARD LVCMOS33 [get_ports i_reset_btn]
243 5 dgisselq
 
244
##SMSC Ethernet PHY
245
 
246
#set_property -dict { PACKAGE_PIN D17   IOSTANDARD LVCMOS33 } [get_ports { eth_col }]; #IO_L16N_T2_A27_15 Sch=eth_col
247
#set_property -dict { PACKAGE_PIN G14   IOSTANDARD LVCMOS33 } [get_ports { eth_crs }]; #IO_L15N_T2_DQS_ADV_B_15 Sch=eth_crs
248 23 dgisselq
set_property PACKAGE_PIN F16 [get_ports o_eth_mdclk]
249
set_property IOSTANDARD LVCMOS33 [get_ports o_eth_mdclk]
250
set_property PACKAGE_PIN K13 [get_ports io_eth_mdio]
251
set_property IOSTANDARD LVCMOS33 [get_ports io_eth_mdio]
252 5 dgisselq
#set_property -dict { PACKAGE_PIN G18   IOSTANDARD LVCMOS33 } [get_ports { eth_ref_clk }]; #IO_L22P_T3_A17_15 Sch=eth_ref_clk
253
#set_property -dict { PACKAGE_PIN C16   IOSTANDARD LVCMOS33 } [get_ports { eth_rstn }]; #IO_L20P_T3_A20_15 Sch=eth_rstn
254
#set_property -dict { PACKAGE_PIN F15   IOSTANDARD LVCMOS33 } [get_ports { eth_rx_clk }]; #IO_L14P_T2_SRCC_15 Sch=eth_rx_clk
255
#set_property -dict { PACKAGE_PIN G16   IOSTANDARD LVCMOS33 } [get_ports { eth_rx_dv }]; #IO_L13N_T2_MRCC_15 Sch=eth_rx_dv
256
#set_property -dict { PACKAGE_PIN D18   IOSTANDARD LVCMOS33 } [get_ports { eth_rxd[0] }]; #IO_L21N_T3_DQS_A18_15 Sch=eth_rxd[0]
257
#set_property -dict { PACKAGE_PIN E17   IOSTANDARD LVCMOS33 } [get_ports { eth_rxd[1] }]; #IO_L16P_T2_A28_15 Sch=eth_rxd[1]
258
#set_property -dict { PACKAGE_PIN E18   IOSTANDARD LVCMOS33 } [get_ports { eth_rxd[2] }]; #IO_L21P_T3_DQS_15 Sch=eth_rxd[2]
259
#set_property -dict { PACKAGE_PIN G17   IOSTANDARD LVCMOS33 } [get_ports { eth_rxd[3] }]; #IO_L18N_T2_A23_15 Sch=eth_rxd[3]
260
#set_property -dict { PACKAGE_PIN C17   IOSTANDARD LVCMOS33 } [get_ports { eth_rxerr }]; #IO_L20N_T3_A19_15 Sch=eth_rxerr
261
#set_property -dict { PACKAGE_PIN H16   IOSTANDARD LVCMOS33 } [get_ports { eth_tx_clk }]; #IO_L13P_T2_MRCC_15 Sch=eth_tx_clk
262
#set_property -dict { PACKAGE_PIN H15   IOSTANDARD LVCMOS33 } [get_ports { eth_tx_en }]; #IO_L19N_T3_A21_VREF_15 Sch=eth_tx_en
263
#set_property -dict { PACKAGE_PIN H14   IOSTANDARD LVCMOS33 } [get_ports { eth_txd[0] }]; #IO_L15P_T2_DQS_15 Sch=eth_txd[0]
264
#set_property -dict { PACKAGE_PIN J14   IOSTANDARD LVCMOS33 } [get_ports { eth_txd[1] }]; #IO_L19P_T3_A22_15 Sch=eth_txd[1]
265
#set_property -dict { PACKAGE_PIN J13   IOSTANDARD LVCMOS33 } [get_ports { eth_txd[2] }]; #IO_L17N_T2_A25_15 Sch=eth_txd[2]
266
#set_property -dict { PACKAGE_PIN H17   IOSTANDARD LVCMOS33 } [get_ports { eth_txd[3] }]; #IO_L18P_T2_A24_15 Sch=eth_txd[3]
267
 
268
##Quad SPI Flash
269
 
270 23 dgisselq
set_property PACKAGE_PIN L13 [get_ports o_qspi_cs_n]
271
set_property IOSTANDARD LVCMOS33 [get_ports o_qspi_cs_n]
272
set_property PACKAGE_PIN K17 [get_ports {io_qspi_dat[0]}]
273
set_property IOSTANDARD LVCMOS33 [get_ports {io_qspi_dat[0]}]
274
set_property PACKAGE_PIN K18 [get_ports {io_qspi_dat[1]}]
275
set_property IOSTANDARD LVCMOS33 [get_ports {io_qspi_dat[1]}]
276
set_property PACKAGE_PIN L14 [get_ports {io_qspi_dat[2]}]
277
set_property IOSTANDARD LVCMOS33 [get_ports {io_qspi_dat[2]}]
278
set_property PACKAGE_PIN M14 [get_ports {io_qspi_dat[3]}]
279
set_property IOSTANDARD LVCMOS33 [get_ports {io_qspi_dat[3]}]
280
set_property PACKAGE_PIN L16 [get_ports o_qspi_sck]
281
set_property IOSTANDARD LVCMOS33 [get_ports o_qspi_sck]
282 5 dgisselq
 
283 23 dgisselq
##Power Measurements
284 5 dgisselq
 
285
#set_property -dict { PACKAGE_PIN B17   IOSTANDARD LVCMOS33     } [get_ports { vsnsvu_n }]; #IO_L7N_T1_AD2N_15 Sch=ad_n[2]
286
#set_property -dict { PACKAGE_PIN B16   IOSTANDARD LVCMOS33     } [get_ports { vsnsvu_p }]; #IO_L7P_T1_AD2P_15 Sch=ad_p[2]
287
#set_property -dict { PACKAGE_PIN B12   IOSTANDARD LVCMOS33     } [get_ports { vsns5v0_n }]; #IO_L3N_T0_DQS_AD1N_15 Sch=ad_n[1]
288
#set_property -dict { PACKAGE_PIN C12   IOSTANDARD LVCMOS33     } [get_ports { vsns5v0_p }]; #IO_L3P_T0_DQS_AD1P_15 Sch=ad_p[1]
289
#set_property -dict { PACKAGE_PIN F14   IOSTANDARD LVCMOS33     } [get_ports { isns5v0_n }]; #IO_L5N_T0_AD9N_15 Sch=ad_n[9]
290
#set_property -dict { PACKAGE_PIN F13   IOSTANDARD LVCMOS33     } [get_ports { isns5v0_p }]; #IO_L5P_T0_AD9P_15 Sch=ad_p[9]
291
#set_property -dict { PACKAGE_PIN A16   IOSTANDARD LVCMOS33     } [get_ports { isns0v95_n }]; #IO_L8N_T1_AD10N_15 Sch=ad_n[10]
292
#set_property -dict { PACKAGE_PIN A15   IOSTANDARD LVCMOS33     } [get_ports { isns0v95_p }]; #IO_L8P_T1_AD10P_15 Sch=ad_p[10]
293
 
294
## Memory
295
 
296
# Memory address lines
297 23 dgisselq
set_property PACKAGE_PIN R2 [get_ports {o_ddr_addr[0]}]
298
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[0]}]
299
set_property PACKAGE_PIN M6 [get_ports {o_ddr_addr[1]}]
300
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[1]}]
301
set_property PACKAGE_PIN N4 [get_ports {o_ddr_addr[2]}]
302
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[2]}]
303
set_property PACKAGE_PIN T1 [get_ports {o_ddr_addr[3]}]
304
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[3]}]
305
set_property PACKAGE_PIN N6 [get_ports {o_ddr_addr[4]}]
306
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[4]}]
307
set_property PACKAGE_PIN R7 [get_ports {o_ddr_addr[5]}]
308
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[5]}]
309
set_property PACKAGE_PIN V6 [get_ports {o_ddr_addr[6]}]
310
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[6]}]
311
set_property PACKAGE_PIN U7 [get_ports {o_ddr_addr[7]}]
312
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[7]}]
313
set_property PACKAGE_PIN R8 [get_ports {o_ddr_addr[8]}]
314
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[8]}]
315
set_property PACKAGE_PIN V7 [get_ports {o_ddr_addr[9]}]
316
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[9]}]
317
set_property PACKAGE_PIN R6 [get_ports {o_ddr_addr[10]}]
318
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[10]}]
319
set_property PACKAGE_PIN U6 [get_ports {o_ddr_addr[11]}]
320
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[11]}]
321
set_property PACKAGE_PIN T6 [get_ports {o_ddr_addr[12]}]
322
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[12]}]
323
set_property PACKAGE_PIN T8 [get_ports {o_ddr_addr[13]}]
324
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_addr[13]}]
325
set_property PACKAGE_PIN R1 [get_ports {o_ddr_ba[0]}]
326
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_ba[0]}]
327
set_property PACKAGE_PIN P4 [get_ports {o_ddr_ba[1]}]
328
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_ba[1]}]
329
set_property PACKAGE_PIN P2 [get_ports {o_ddr_ba[2]}]
330
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_ba[2]}]
331 5 dgisselq
#
332 23 dgisselq
set_property PACKAGE_PIN M4 [get_ports o_ddr_cas_n]
333
set_property IOSTANDARD SSTL135 [get_ports o_ddr_cas_n]
334 5 dgisselq
# Clock lines
335 23 dgisselq
set_property IOSTANDARD DIFF_SSTL135 [get_ports o_ddr_ck_n]
336
set_property PACKAGE_PIN U9 [get_ports o_ddr_ck_p]
337
set_property IOSTANDARD DIFF_SSTL135 [get_ports o_ddr_ck_p]
338 5 dgisselq
#
339 23 dgisselq
set_property PACKAGE_PIN N5 [get_ports o_ddr_cke]
340
set_property IOSTANDARD SSTL135 [get_ports o_ddr_cke]
341
set_property PACKAGE_PIN U8 [get_ports o_ddr_cs_n]
342
set_property IOSTANDARD SSTL135 [get_ports o_ddr_cs_n]
343
set_property PACKAGE_PIN L1 [get_ports {o_ddr_dm[0]}]
344
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_dm[0]}]
345
set_property PACKAGE_PIN U1 [get_ports {o_ddr_dm[1]}]
346
set_property IOSTANDARD SSTL135 [get_ports {o_ddr_dm[1]}]
347 5 dgisselq
# Data (DQ) lines
348 23 dgisselq
set_property PACKAGE_PIN K5 [get_ports {io_ddr_data[0]}]
349
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[0]}]
350
set_property PACKAGE_PIN L3 [get_ports {io_ddr_data[1]}]
351
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[1]}]
352
set_property PACKAGE_PIN K3 [get_ports {io_ddr_data[2]}]
353
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[2]}]
354
set_property PACKAGE_PIN L6 [get_ports {io_ddr_data[3]}]
355
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[3]}]
356
set_property PACKAGE_PIN M3 [get_ports {io_ddr_data[4]}]
357
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[4]}]
358
set_property PACKAGE_PIN M1 [get_ports {io_ddr_data[5]}]
359
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[5]}]
360
set_property PACKAGE_PIN L4 [get_ports {io_ddr_data[6]}]
361
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[6]}]
362
set_property PACKAGE_PIN M2 [get_ports {io_ddr_data[7]}]
363
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[7]}]
364
set_property PACKAGE_PIN V4 [get_ports {io_ddr_data[8]}]
365
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[8]}]
366
set_property PACKAGE_PIN T5 [get_ports {io_ddr_data[9]}]
367
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[9]}]
368
set_property PACKAGE_PIN U4 [get_ports {io_ddr_data[10]}]
369
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[10]}]
370
set_property PACKAGE_PIN V5 [get_ports {io_ddr_data[11]}]
371
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[11]}]
372
set_property PACKAGE_PIN V1 [get_ports {io_ddr_data[12]}]
373
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[12]}]
374
set_property PACKAGE_PIN T3 [get_ports {io_ddr_data[13]}]
375
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[13]}]
376
set_property PACKAGE_PIN U3 [get_ports {io_ddr_data[14]}]
377
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[14]}]
378
set_property PACKAGE_PIN R3 [get_ports {io_ddr_data[15]}]
379
set_property IOSTANDARD SSTL135 [get_ports {io_ddr_data[15]}]
380 5 dgisselq
# DQS
381 23 dgisselq
set_property IOSTANDARD DIFF_SSTL135 [get_ports {io_ddr_dqs_n[0]}]
382
set_property IOSTANDARD DIFF_SSTL135 [get_ports {io_ddr_dqs_n[1]}]
383
set_property IOSTANDARD DIFF_SSTL135 [get_ports {io_ddr_dqs_p[0]}]
384
set_property PACKAGE_PIN N2 [get_ports {io_ddr_dqs_p[0]}]
385
set_property IOSTANDARD DIFF_SSTL135 [get_ports {io_ddr_dqs_p[1]}]
386
set_property PACKAGE_PIN U2 [get_ports {io_ddr_dqs_p[1]}]
387
set_property PACKAGE_PIN R5 [get_ports o_ddr_odt]
388
set_property IOSTANDARD SSTL135 [get_ports o_ddr_odt]
389
set_property PACKAGE_PIN P3 [get_ports o_ddr_ras_n]
390
set_property IOSTANDARD SSTL135 [get_ports o_ddr_ras_n]
391
set_property PACKAGE_PIN K6 [get_ports o_ddr_reset_n]
392
set_property IOSTANDARD SSTL135 [get_ports o_ddr_reset_n]
393
set_property PACKAGE_PIN P5 [get_ports o_ddr_we_n]
394
set_property IOSTANDARD SSTL135 [get_ports o_ddr_we_n]
395 5 dgisselq
#Internal VREF
396 23 dgisselq
set_property INTERNAL_VREF 0.675 [get_iobanks 34]
397
 
398
 
399
set_property BITSTREAM.CONFIG.CONFIGRATE 33 [current_design]
400
set_property BITSTREAM.CONFIG.CCLKPIN PULLNONE [current_design]
401
set_property CONFIG_MODE SPIx1 [current_design]
402
set_property BITSTREAM.GENERAL.COMPRESS TRUE [current_design]
403
set_property BITSTREAM.CONFIG.SPI_32BIT_ADDR NO [current_design]
404
set_property BITSTREAM.CONFIG.SPI_BUSWIDTH 1 [current_design]
405
 
406
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.