OpenCores
URL https://opencores.org/ocsvn/openarty/openarty/trunk

Subversion Repositories openarty

[/] [openarty/] [trunk/] [rtl/] [fastio.v] - Blame information for rev 17

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    fastio.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     
8
//
9
// Creator:     Dan Gisselquist, Ph.D.
10
//              Gisselquist Technology, LLC
11
//
12
////////////////////////////////////////////////////////////////////////////////
13
//
14
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
15
//
16
// This program is free software (firmware): you can redistribute it and/or
17
// modify it under the terms of  the GNU General Public License as published
18
// by the Free Software Foundation, either version 3 of the License, or (at
19
// your option) any later version.
20
//
21
// This program is distributed in the hope that it will be useful, but WITHOUT
22
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
23
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
24
// for more details.
25
//
26
// You should have received a copy of the GNU General Public License along
27
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
28
// target there if the PDF file isn't present.)  If not, see
29
// <http://www.gnu.org/licenses/> for a copy.
30
//
31
// License:     GPL, v3, as defined and found on www.gnu.org,
32
//              http://www.gnu.org/licenses/gpl.html
33
//
34
//
35
////////////////////////////////////////////////////////////////////////////////
36
//
37
//
38
`include "builddate.v"
39
//
40
module  fastio(i_clk,
41
                // Board level I/O
42
                i_sw, i_btn, o_led,
43
                o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3,
44
                // Board level PMod I/O
45
                i_aux_rx, o_aux_tx, o_aux_cts, i_gps_rx, o_gps_tx,
46
                // i_gpio, o_gpio,
47
                // Wishbone control
48
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr,
49
                        i_wb_data, o_wb_ack, o_wb_stall, o_wb_data,
50
                // Cross-board I/O
51
                i_rtc_ppd, i_buserr, i_other_ints, o_bus_int, o_board_ints);
52 17 dgisselq
        parameter       AUXUART_SETUP = 30'd1736, // 115200 baud from 200MHz clk
53
                        GPSUART_SETUP = 30'd20833; // 9600 baud from 200MHz clk
54 3 dgisselq
        input                   i_clk;
55
        // Board level I/O
56
        input           [3:0]    i_sw;
57
        input           [3:0]    i_btn;
58
        output  wire    [3:0]    o_led;
59
        output  reg     [2:0]    o_clr_led0;
60
        output  reg     [2:0]    o_clr_led1;
61
        output  reg     [2:0]    o_clr_led2;
62
        output  reg     [2:0]    o_clr_led3;
63
        // Board level PMod I/O
64
        //
65
        // Auxilliary UART I/O
66
        input           i_aux_rx;
67
        output  wire    o_aux_tx, o_aux_cts;
68
        //
69
        // GPS UART I/O
70
        input           i_gps_rx;
71
        output  wire    o_gps_tx;
72
        //
73
        // GPIO
74
        // input        [(NGPI-1):0]    i_gpio;
75
        // output reg   [(NGPO-1):0]    o_gpio;
76
        //
77
        // Wishbone inputs
78
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
79
        input           [4:0]    i_wb_addr;
80
        input           [31:0]   i_wb_data;
81
        // Wishbone outputs
82
        output  reg             o_wb_ack;
83
        output  wire            o_wb_stall;
84
        output  reg     [31:0]   o_wb_data;
85
        // A strobe at midnight, to keep the calendar on "time"
86
        input                   i_rtc_ppd;
87
        // Address of the last bus error
88
        input           [31:0]   i_buserr;
89
        //
90
        // Interrupts -- both the output bus interrupt, as well as those
91
        //      internally generated interrupts which may be used elsewhere
92
        //      in the design
93
        input   wire    [8:0]    i_other_ints;
94
        output  wire            o_bus_int;
95
        output  wire    [5:0]    o_board_ints; // Button and switch interrupts
96
 
97
        reg             last_wb_stb;
98
        reg     [4:0]    last_wb_addr;
99
        reg     [31:0]   last_wb_data;
100
        initial last_wb_stb = 1'b0;
101
        always @(posedge i_clk)
102
        begin
103
                last_wb_addr <= i_wb_addr;
104
                last_wb_data <= i_wb_data;
105
                last_wb_stb  <= (i_wb_stb)&&(i_wb_we);
106
        end
107
 
108
        wire    [31:0]   pic_data;
109
        reg     sw_int, btn_int;
110
        wire    pps_int, rtc_int, netrx_int, nettx_int,
111
                auxrx_int, auxtx_int, gpio_int, flash_int, scop_int,
112
                gpsrx_int, sd_int, oled_int, zip_int;
113
        assign { zip_int, oled_int, rtc_int, sd_int,
114
                        nettx_int, netrx_int, scop_int, flash_int,
115
                        pps_int } = i_other_ints;
116
 
117 17 dgisselq
        //
118
        // The BUS Interrupt controller
119
        //
120 3 dgisselq
        icontrol #(15)  buspic(i_clk, 1'b0,
121
                (last_wb_stb)&&(last_wb_addr==5'h1),
122
                        i_wb_data, pic_data,
123
                { zip_int, oled_int, sd_int,
124
                        gpsrx_int, scop_int, flash_int, gpio_int,
125
                        auxtx_int, auxrx_int, nettx_int, netrx_int,
126
                        rtc_int, pps_int, sw_int, btn_int },
127
                        o_bus_int);
128
 
129
        // 
130
        // PWR Count
131
        // 
132
        // A 32-bit counter that starts at power up and never resets.  It's a
133
        // read only counter if you will.
134
        reg     [31:0]   pwr_counter;
135
        initial pwr_counter = 32'h00;
136
        always @(posedge i_clk)
137
                pwr_counter <= pwr_counter+32'h001;
138
 
139
        //
140
        // BTNSW
141
        //
142
        // The button and switch control register
143
        wire    [31:0]   w_btnsw;
144
        reg     [3:0]    r_sw,  swcfg,  swnow,  swlast;
145
        reg     [3:0]    r_btn, btncfg, btnnow, btnlast, btnstate;
146
        initial btn_int = 1'b0;
147
        initial sw_int  = 1'b0;
148
        always @(posedge i_clk)
149
        begin
150
                r_sw <= i_sw;
151
                swnow <= r_sw;
152
                swlast<= swnow;
153
                sw_int <= |((swnow^swlast)|swcfg);
154
 
155
                if ((last_wb_stb)&&(last_wb_addr == 5'h4))
156
                        swcfg <= ((last_wb_data[3:0])&(last_wb_data[11:8]))
157
                                        |((~last_wb_data[3:0])&(swcfg));
158
 
159
                r_btn <= i_btn;
160
                btnnow <= r_btn;
161
                btn_int <= |(btnnow&btncfg);
162
                if ((last_wb_stb)&&(last_wb_addr == 5'h4))
163
                begin
164
                        btncfg <= ((last_wb_data[7:4])&(last_wb_data[15:12]))
165
                                        |((~last_wb_data[7:4])&(btncfg));
166
                        btnstate<= (btnnow)|((btnstate)&(~last_wb_data[7:4]));
167
                end else
168
                        btnstate <= (btnstate)|(btnnow);
169
        end
170
        assign  w_btnsw = { 8'h00, btnnow, 4'h0, btncfg, swcfg, btnstate, swnow };
171
 
172
        //
173
        // LEDCTRL
174
        //
175
        reg     [3:0]    r_leds;
176
        wire    [31:0]   w_ledreg;
177
        reg     last_cyc;
178
        always @(posedge i_clk)
179
                last_cyc <= i_wb_cyc;
180
        initial r_leds = 4'h0;
181
        always @(posedge i_clk)
182
                if ((last_wb_stb)&&(last_wb_addr == 5'h5))
183
                        r_leds <= last_wb_data[3:0];
184
        assign  o_led = r_leds;
185
        assign  w_ledreg = { 28'h0, r_leds  };
186
 
187
        //
188
        // GPIO
189
        //
190
        // Not used (yet), but this interface should allow us to control up to
191
        // 16 GPIO inputs, and another 16 GPIO outputs.  The interrupt trips
192
        // when any of the inputs changes.  (Sorry, which input isn't (yet)
193
        // selectable.)
194
        //
195
        assign  gpio_int = 1'b0;
196
 
197
        //
198
        // AUX (UART) SETUP
199
        //
200
        // Set us up for 4Mbaud, 8 data bits, no stop bits.
201
        reg     [29:0]   aux_setup;
202
        initial aux_setup = AUXUART_SETUP;
203
        always @(posedge i_clk)
204
                if ((last_wb_stb)&&(last_wb_addr == 5'h6))
205
                        aux_setup[29:0] <= last_wb_data[29:0];
206
 
207
        //
208
        // GPSSETUP
209
        //
210
        // Set us up for 9600 kbaud, 8 data bits, no stop bits.
211
        reg     [29:0]   gps_setup;
212
        initial gps_setup = GPSUART_SETUP;
213
        always @(posedge i_clk)
214
                if ((last_wb_stb)&&(last_wb_addr == 5'h7))
215
                        gps_setup[29:0] <= last_wb_data[29:0];
216
 
217
        //
218
        // CLR LEDs
219
        //
220
 
221
        // CLR LED 0
222
        wire    [31:0]   w_clr_led0;
223
        reg     [8:0]    r_clr_led0_r, r_clr_led0_g, r_clr_led0_b;
224
        initial r_clr_led0_r = 9'h003; // Color LED on the far right
225
        initial r_clr_led0_g = 9'h000;
226
        initial r_clr_led0_b = 9'h000;
227
        always @(posedge i_clk)
228
                if ((last_wb_stb)&&(last_wb_addr == 5'h8))
229
                begin
230
                        r_clr_led0_r <= { last_wb_data[26], last_wb_data[23:16] };
231
                        r_clr_led0_g <= { last_wb_data[25], last_wb_data[15: 8] };
232
                        r_clr_led0_b <= { last_wb_data[24], last_wb_data[ 7: 0] };
233
                end
234
        assign  w_clr_led0 = { 5'h0,
235
                        r_clr_led0_r[8], r_clr_led0_g[8], r_clr_led0_b[8],
236
                        r_clr_led0_r[7:0], r_clr_led0_g[7:0], r_clr_led0_b[7:0]
237
                };
238
        always @(posedge i_clk)
239
                o_clr_led0 <= { (pwr_counter[8:0] < r_clr_led0_r),
240
                                (pwr_counter[8:0] < r_clr_led0_g),
241
                                (pwr_counter[8:0] < r_clr_led0_b) };
242
 
243
        // CLR LED 1
244
        wire    [31:0]   w_clr_led1;
245
        reg     [8:0]    r_clr_led1_r, r_clr_led1_g, r_clr_led1_b;
246
        initial r_clr_led1_r = 9'h007;
247
        initial r_clr_led1_g = 9'h000;
248
        initial r_clr_led1_b = 9'h000;
249
        always @(posedge i_clk)
250
                if ((last_wb_stb)&&(last_wb_addr == 5'h9))
251
                begin
252
                        r_clr_led1_r <= { last_wb_data[26], last_wb_data[23:16] };
253
                        r_clr_led1_g <= { last_wb_data[25], last_wb_data[15: 8] };
254
                        r_clr_led1_b <= { last_wb_data[24], last_wb_data[ 7: 0] };
255
                end
256
        assign  w_clr_led1 = { 5'h0,
257
                        r_clr_led1_r[8], r_clr_led1_g[8], r_clr_led1_b[8],
258
                        r_clr_led1_r[7:0], r_clr_led1_g[7:0], r_clr_led1_b[7:0]
259
                };
260
        always @(posedge i_clk)
261
                o_clr_led1 <= { (pwr_counter[8:0] < r_clr_led1_r),
262
                                (pwr_counter[8:0] < r_clr_led1_g),
263
                                (pwr_counter[8:0] < r_clr_led1_b) };
264
        // CLR LED 0
265
        wire    [31:0]   w_clr_led2;
266
        reg     [8:0]    r_clr_led2_r, r_clr_led2_g, r_clr_led2_b;
267
        initial r_clr_led2_r = 9'h00f;
268
        initial r_clr_led2_g = 9'h000;
269
        initial r_clr_led2_b = 9'h000;
270
        always @(posedge i_clk)
271
                if ((last_wb_stb)&&(last_wb_addr == 5'ha))
272
                begin
273
                        r_clr_led2_r <= { last_wb_data[26], last_wb_data[23:16] };
274
                        r_clr_led2_g <= { last_wb_data[25], last_wb_data[15: 8] };
275
                        r_clr_led2_b <= { last_wb_data[24], last_wb_data[ 7: 0] };
276
                end
277
        assign  w_clr_led2 = { 5'h0,
278
                        r_clr_led2_r[8], r_clr_led2_g[8], r_clr_led2_b[8],
279
                        r_clr_led2_r[7:0], r_clr_led2_g[7:0], r_clr_led2_b[7:0]
280
                };
281
        always @(posedge i_clk)
282
                o_clr_led2 <= { (pwr_counter[8:0] < r_clr_led2_r),
283
                                (pwr_counter[8:0] < r_clr_led2_g),
284
                                (pwr_counter[8:0] < r_clr_led2_b) };
285
        // CLR LED 3
286
        wire    [31:0]   w_clr_led3;
287
        reg     [8:0]    r_clr_led3_r, r_clr_led3_g, r_clr_led3_b;
288
        initial r_clr_led3_r = 9'h01f; // LED is on far left
289
        initial r_clr_led3_g = 9'h000;
290
        initial r_clr_led3_b = 9'h000;
291
        always @(posedge i_clk)
292
                if ((last_wb_stb)&&(last_wb_addr == 5'hb))
293
                begin
294
                        r_clr_led3_r <= { last_wb_data[26], last_wb_data[23:16] };
295
                        r_clr_led3_g <= { last_wb_data[25], last_wb_data[15: 8] };
296
                        r_clr_led3_b <= { last_wb_data[24], last_wb_data[ 7: 0] };
297
                end
298
        assign  w_clr_led3 = { 5'h0,
299
                        r_clr_led3_r[8], r_clr_led3_g[8], r_clr_led3_b[8],
300
                        r_clr_led3_r[7:0], r_clr_led3_g[7:0], r_clr_led3_b[7:0]
301
                };
302
        always @(posedge i_clk)
303
                o_clr_led3 <= { (pwr_counter[8:0] < r_clr_led3_r),
304
                                (pwr_counter[8:0] < r_clr_led3_g),
305
                                (pwr_counter[8:0] < r_clr_led3_b) };
306
 
307
        //
308
        // The Calendar DATE
309
        //
310
        wire    [31:0]   date_data;
311
`define GET_DATE
312
`ifdef  GET_DATE
313
        wire    date_ack, date_stall;
314
        rtcdate thedate(i_clk, i_rtc_ppd,
315
                i_wb_cyc, last_wb_stb, (last_wb_addr==5'hc), last_wb_data,
316
                        date_ack, date_stall, date_data);
317
`else
318
        assign  date_data = 32'h20160000;
319
`endif
320
 
321
        //////
322
        //
323
        // The auxilliary UART
324
        //
325
        //////
326
 
327 17 dgisselq
        //
328
        // First the Auxilliary UART receiver
329
        //
330 3 dgisselq
        wire    auxrx_stb, auxrx_break, auxrx_perr, auxrx_ferr, auxck_uart;
331
        wire    [7:0]    rx_data_aux_port;
332
        rxuart  auxrx(i_clk, 1'b0, aux_setup, i_aux_rx,
333
                        auxrx_stb, rx_data_aux_port, auxrx_break,
334
                        auxrx_perr, auxrx_ferr, auxck_uart);
335
 
336
        wire    [31:0]   auxrx_data;
337
        reg     [11:0]   r_auxrx_data;
338
        always @(posedge i_clk)
339
                if (auxrx_stb)
340
                begin
341
                        r_auxrx_data[11] <= auxrx_break;
342
                        r_auxrx_data[10] <= auxrx_ferr;
343
                        r_auxrx_data[ 9] <= auxrx_perr;
344
                        r_auxrx_data[7:0]<= rx_data_aux_port;
345
                end
346
        always @(posedge i_clk)
347 17 dgisselq
                if(((i_wb_stb)&&(~i_wb_we)&&(i_wb_addr == 5'h0e))||(auxrx_stb))
348 3 dgisselq
                        r_auxrx_data[8] <= auxrx_stb;
349
        assign  o_aux_cts = auxrx_stb;
350
        assign  auxrx_data = { 20'h00, r_auxrx_data };
351
        assign  auxrx_int = r_auxrx_data[8];
352
 
353 17 dgisselq
        //
354
        // Then the auxilliary UART transmitter
355
        //
356 3 dgisselq
        wire    auxtx_busy;
357
        reg     [7:0]    r_auxtx_data;
358
        reg             r_auxtx_stb, r_auxtx_break;
359
        wire    [31:0]   auxtx_data;
360
        txuart  auxtx(i_clk, 1'b0, aux_setup,
361
                        r_auxtx_break, r_auxtx_stb, r_auxtx_data,
362
                        o_aux_tx, auxtx_busy);
363
        always @(posedge i_clk)
364 17 dgisselq
                if ((last_wb_stb)&&(last_wb_addr == 5'h0f))
365 3 dgisselq
                begin
366
                        r_auxtx_stb <= 1'b1;
367
                        r_auxtx_data <= last_wb_data[7:0];
368
                        r_auxtx_break<= last_wb_data[9];
369
                end else if (~auxtx_busy)
370
                begin
371
                        r_auxtx_stb <= 1'b0;
372
                        r_auxtx_data <= 8'h0;
373
                end
374
        assign  auxtx_data = { 20'h00,
375
                auxck_uart, o_aux_tx, r_auxtx_break, auxtx_busy,
376
                r_auxtx_data };
377
        assign  auxtx_int = ~auxtx_busy;
378
 
379
        //////
380
        //
381
        // The GPS UART
382
        //
383
        //////
384
 
385
        // First the receiver
386
        wire    gpsrx_stb, gpsrx_break, gpsrx_perr, gpsrx_ferr, gpsck_uart;
387
        wire    [7:0]    rx_data_gps_port;
388
        rxuart  gpsrx(i_clk, 1'b0, gps_setup, i_gps_rx,
389
                        gpsrx_stb, rx_data_gps_port, gpsrx_break,
390
                        gpsrx_perr, gpsrx_ferr, gpsck_uart);
391
 
392
        wire    [31:0]   gpsrx_data;
393
        reg     [11:0]   r_gpsrx_data;
394
        always @(posedge i_clk)
395
                if (gpsrx_stb)
396
                begin
397
                        r_gpsrx_data[11] <= gpsrx_break;
398
                        r_gpsrx_data[10] <= gpsrx_ferr;
399
                        r_gpsrx_data[ 9] <= gpsrx_perr;
400
                        r_gpsrx_data[7:0]<= rx_data_gps_port;
401
                end
402
        always @(posedge i_clk)
403 17 dgisselq
                if(((i_wb_stb)&&(~i_wb_we)&&(i_wb_addr == 5'h10))||(gpsrx_stb))
404 3 dgisselq
                        r_gpsrx_data[8] <= gpsrx_stb;
405
        assign  gpsrx_data = { 20'h00, r_gpsrx_data };
406
        assign  gpsrx_int = r_gpsrx_data[8];
407
 
408
 
409
        // Then the transmitter
410
        reg             r_gpstx_break, r_gpstx_stb;
411
        reg     [7:0]    r_gpstx_data;
412
        wire            gpstx_busy;
413
        wire    [31:0]   gpstx_data;
414
        txuart  gpstx(i_clk, 1'b0, gps_setup,
415
                        r_gpstx_break, r_gpstx_stb, r_gpstx_data,
416
                        o_gps_tx, gpstx_busy);
417
        always @(posedge i_clk)
418 17 dgisselq
                if ((last_wb_stb)&&(last_wb_addr == 5'h11))
419 3 dgisselq
                begin
420
                        r_gpstx_stb <= 1'b1;
421
                        r_gpstx_data <= last_wb_data[7:0];
422
                        r_gpstx_break<= last_wb_data[9];
423
                end else if (~gpstx_busy)
424
                begin
425
                        r_gpstx_stb <= 1'b0;
426
                        r_gpstx_data <= 8'h0;
427
                end
428
        assign  gpstx_data = { 20'h00,
429
                gpsck_uart, o_gps_tx, r_gpstx_break, gpstx_busy,
430
                r_gpstx_data };
431
 
432
        always @(posedge i_clk)
433
                case(i_wb_addr)
434
                5'h00: o_wb_data <= `DATESTAMP;
435
                5'h01: o_wb_data <= pic_data;
436
                5'h02: o_wb_data <= i_buserr;
437
                5'h03: o_wb_data <= pwr_counter;
438
                5'h04: o_wb_data <= w_btnsw;
439
                5'h05: o_wb_data <= w_ledreg;
440
                5'h06: o_wb_data <= { 2'b00, aux_setup };
441
                5'h07: o_wb_data <= { 2'b00, gps_setup };
442
                5'h08: o_wb_data <= w_clr_led0;
443
                5'h09: o_wb_data <= w_clr_led1;
444
                5'h0a: o_wb_data <= w_clr_led2;
445
                5'h0b: o_wb_data <= w_clr_led3;
446
                5'h0c: o_wb_data <= date_data;
447 17 dgisselq
                // 5'h0d: o_wb_data <= gpio_data;
448
                5'h0e: o_wb_data <= auxrx_data;
449
                5'h0f: o_wb_data <= auxtx_data;
450 3 dgisselq
                5'h10: o_wb_data <= gpsrx_data;
451
                5'h11: o_wb_data <= gpstx_data;
452
                // 5'hf: UART_SETUP
453
                // 4'h6: GPIO
454
                // ?? : GPS-UARTRX
455
                // ?? : GPS-UARTTX
456
                default: o_wb_data <= 32'h00;
457
                endcase
458
 
459
        assign  o_wb_stall = 1'b0;
460
        always @(posedge i_clk)
461
                o_wb_ack <= (i_wb_stb);
462
        assign  o_board_ints = { gpio_int, auxrx_int, auxtx_int, gpsrx_int, sw_int, btn_int };
463
 
464
 
465
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.