OpenCores
URL https://opencores.org/ocsvn/openarty/openarty/trunk

Subversion Repositories openarty

[/] [openarty/] [trunk/] [rtl/] [fastio.v] - Blame information for rev 19

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 3 dgisselq
////////////////////////////////////////////////////////////////////////////////
2
//
3
// Filename:    fastio.v
4
//
5
// Project:     OpenArty, an entirely open SoC based upon the Arty platform
6
//
7
// Purpose:     
8
//
9
// Creator:     Dan Gisselquist, Ph.D.
10
//              Gisselquist Technology, LLC
11
//
12
////////////////////////////////////////////////////////////////////////////////
13
//
14
// Copyright (C) 2015-2016, Gisselquist Technology, LLC
15
//
16
// This program is free software (firmware): you can redistribute it and/or
17
// modify it under the terms of  the GNU General Public License as published
18
// by the Free Software Foundation, either version 3 of the License, or (at
19
// your option) any later version.
20
//
21
// This program is distributed in the hope that it will be useful, but WITHOUT
22
// ANY WARRANTY; without even the implied warranty of MERCHANTIBILITY or
23
// FITNESS FOR A PARTICULAR PURPOSE.  See the GNU General Public License
24
// for more details.
25
//
26
// You should have received a copy of the GNU General Public License along
27
// with this program.  (It's in the $(ROOT)/doc directory, run make with no
28
// target there if the PDF file isn't present.)  If not, see
29
// <http://www.gnu.org/licenses/> for a copy.
30
//
31
// License:     GPL, v3, as defined and found on www.gnu.org,
32
//              http://www.gnu.org/licenses/gpl.html
33
//
34
//
35
////////////////////////////////////////////////////////////////////////////////
36
//
37
//
38
`include "builddate.v"
39
//
40
module  fastio(i_clk,
41
                // Board level I/O
42
                i_sw, i_btn, o_led,
43
                o_clr_led0, o_clr_led1, o_clr_led2, o_clr_led3,
44
                // Board level PMod I/O
45
                i_aux_rx, o_aux_tx, o_aux_cts, i_gps_rx, o_gps_tx,
46
                // i_gpio, o_gpio,
47
                // Wishbone control
48
                i_wb_cyc, i_wb_stb, i_wb_we, i_wb_addr,
49
                        i_wb_data, o_wb_ack, o_wb_stall, o_wb_data,
50
                // Cross-board I/O
51
                i_rtc_ppd, i_buserr, i_other_ints, o_bus_int, o_board_ints);
52 17 dgisselq
        parameter       AUXUART_SETUP = 30'd1736, // 115200 baud from 200MHz clk
53
                        GPSUART_SETUP = 30'd20833; // 9600 baud from 200MHz clk
54 3 dgisselq
        input                   i_clk;
55
        // Board level I/O
56
        input           [3:0]    i_sw;
57
        input           [3:0]    i_btn;
58
        output  wire    [3:0]    o_led;
59
        output  reg     [2:0]    o_clr_led0;
60
        output  reg     [2:0]    o_clr_led1;
61
        output  reg     [2:0]    o_clr_led2;
62
        output  reg     [2:0]    o_clr_led3;
63
        // Board level PMod I/O
64
        //
65
        // Auxilliary UART I/O
66
        input           i_aux_rx;
67
        output  wire    o_aux_tx, o_aux_cts;
68
        //
69
        // GPS UART I/O
70
        input           i_gps_rx;
71
        output  wire    o_gps_tx;
72
        //
73
        // GPIO
74
        // input        [(NGPI-1):0]    i_gpio;
75
        // output reg   [(NGPO-1):0]    o_gpio;
76
        //
77
        // Wishbone inputs
78
        input                   i_wb_cyc, i_wb_stb, i_wb_we;
79
        input           [4:0]    i_wb_addr;
80
        input           [31:0]   i_wb_data;
81
        // Wishbone outputs
82
        output  reg             o_wb_ack;
83
        output  wire            o_wb_stall;
84
        output  reg     [31:0]   o_wb_data;
85
        // A strobe at midnight, to keep the calendar on "time"
86
        input                   i_rtc_ppd;
87
        // Address of the last bus error
88
        input           [31:0]   i_buserr;
89
        //
90
        // Interrupts -- both the output bus interrupt, as well as those
91
        //      internally generated interrupts which may be used elsewhere
92
        //      in the design
93
        input   wire    [8:0]    i_other_ints;
94
        output  wire            o_bus_int;
95
        output  wire    [5:0]    o_board_ints; // Button and switch interrupts
96
 
97
        reg             last_wb_stb;
98
        reg     [4:0]    last_wb_addr;
99
        reg     [31:0]   last_wb_data;
100
        initial last_wb_stb = 1'b0;
101
        always @(posedge i_clk)
102
        begin
103
                last_wb_addr <= i_wb_addr;
104
                last_wb_data <= i_wb_data;
105
                last_wb_stb  <= (i_wb_stb)&&(i_wb_we);
106
        end
107
 
108
        wire    [31:0]   pic_data;
109
        reg     sw_int, btn_int;
110
        wire    pps_int, rtc_int, netrx_int, nettx_int,
111
                auxrx_int, auxtx_int, gpio_int, flash_int, scop_int,
112
                gpsrx_int, sd_int, oled_int, zip_int;
113
        assign { zip_int, oled_int, rtc_int, sd_int,
114
                        nettx_int, netrx_int, scop_int, flash_int,
115
                        pps_int } = i_other_ints;
116
 
117 17 dgisselq
        //
118
        // The BUS Interrupt controller
119
        //
120 3 dgisselq
        icontrol #(15)  buspic(i_clk, 1'b0,
121
                (last_wb_stb)&&(last_wb_addr==5'h1),
122
                        i_wb_data, pic_data,
123
                { zip_int, oled_int, sd_int,
124
                        gpsrx_int, scop_int, flash_int, gpio_int,
125
                        auxtx_int, auxrx_int, nettx_int, netrx_int,
126
                        rtc_int, pps_int, sw_int, btn_int },
127
                        o_bus_int);
128
 
129
        // 
130
        // PWR Count
131
        // 
132
        // A 32-bit counter that starts at power up and never resets.  It's a
133
        // read only counter if you will.
134
        reg     [31:0]   pwr_counter;
135
        initial pwr_counter = 32'h00;
136
        always @(posedge i_clk)
137
                pwr_counter <= pwr_counter+32'h001;
138
 
139
        //
140
        // BTNSW
141
        //
142
        // The button and switch control register
143
        wire    [31:0]   w_btnsw;
144
        reg     [3:0]    r_sw,  swcfg,  swnow,  swlast;
145
        reg     [3:0]    r_btn, btncfg, btnnow, btnlast, btnstate;
146
        initial btn_int = 1'b0;
147
        initial sw_int  = 1'b0;
148
        always @(posedge i_clk)
149
        begin
150
                r_sw <= i_sw;
151
                swnow <= r_sw;
152
                swlast<= swnow;
153
                sw_int <= |((swnow^swlast)|swcfg);
154
 
155
                if ((last_wb_stb)&&(last_wb_addr == 5'h4))
156
                        swcfg <= ((last_wb_data[3:0])&(last_wb_data[11:8]))
157
                                        |((~last_wb_data[3:0])&(swcfg));
158
 
159
                r_btn <= i_btn;
160
                btnnow <= r_btn;
161
                btn_int <= |(btnnow&btncfg);
162
                if ((last_wb_stb)&&(last_wb_addr == 5'h4))
163
                begin
164
                        btncfg <= ((last_wb_data[7:4])&(last_wb_data[15:12]))
165
                                        |((~last_wb_data[7:4])&(btncfg));
166
                        btnstate<= (btnnow)|((btnstate)&(~last_wb_data[7:4]));
167
                end else
168
                        btnstate <= (btnstate)|(btnnow);
169
        end
170
        assign  w_btnsw = { 8'h00, btnnow, 4'h0, btncfg, swcfg, btnstate, swnow };
171
 
172
        //
173
        // LEDCTRL
174
        //
175
        reg     [3:0]    r_leds;
176
        wire    [31:0]   w_ledreg;
177
        reg     last_cyc;
178
        always @(posedge i_clk)
179
                last_cyc <= i_wb_cyc;
180
        initial r_leds = 4'h0;
181
        always @(posedge i_clk)
182
                if ((last_wb_stb)&&(last_wb_addr == 5'h5))
183 19 dgisselq
                        r_leds <= ((last_wb_data[7:4])&(last_wb_data[3:0]))
184
                                |((~last_wb_data[7:4])&(r_leds));
185 3 dgisselq
        assign  o_led = r_leds;
186
        assign  w_ledreg = { 28'h0, r_leds  };
187
 
188
        //
189
        // GPIO
190
        //
191
        // Not used (yet), but this interface should allow us to control up to
192
        // 16 GPIO inputs, and another 16 GPIO outputs.  The interrupt trips
193
        // when any of the inputs changes.  (Sorry, which input isn't (yet)
194
        // selectable.)
195
        //
196
        assign  gpio_int = 1'b0;
197
 
198
        //
199
        // AUX (UART) SETUP
200
        //
201
        // Set us up for 4Mbaud, 8 data bits, no stop bits.
202
        reg     [29:0]   aux_setup;
203
        initial aux_setup = AUXUART_SETUP;
204
        always @(posedge i_clk)
205
                if ((last_wb_stb)&&(last_wb_addr == 5'h6))
206
                        aux_setup[29:0] <= last_wb_data[29:0];
207
 
208
        //
209
        // GPSSETUP
210
        //
211
        // Set us up for 9600 kbaud, 8 data bits, no stop bits.
212
        reg     [29:0]   gps_setup;
213
        initial gps_setup = GPSUART_SETUP;
214
        always @(posedge i_clk)
215
                if ((last_wb_stb)&&(last_wb_addr == 5'h7))
216
                        gps_setup[29:0] <= last_wb_data[29:0];
217
 
218
        //
219
        // CLR LEDs
220
        //
221
 
222
        // CLR LED 0
223
        wire    [31:0]   w_clr_led0;
224
        reg     [8:0]    r_clr_led0_r, r_clr_led0_g, r_clr_led0_b;
225
        initial r_clr_led0_r = 9'h003; // Color LED on the far right
226
        initial r_clr_led0_g = 9'h000;
227
        initial r_clr_led0_b = 9'h000;
228
        always @(posedge i_clk)
229
                if ((last_wb_stb)&&(last_wb_addr == 5'h8))
230
                begin
231
                        r_clr_led0_r <= { last_wb_data[26], last_wb_data[23:16] };
232
                        r_clr_led0_g <= { last_wb_data[25], last_wb_data[15: 8] };
233
                        r_clr_led0_b <= { last_wb_data[24], last_wb_data[ 7: 0] };
234
                end
235
        assign  w_clr_led0 = { 5'h0,
236
                        r_clr_led0_r[8], r_clr_led0_g[8], r_clr_led0_b[8],
237
                        r_clr_led0_r[7:0], r_clr_led0_g[7:0], r_clr_led0_b[7:0]
238
                };
239
        always @(posedge i_clk)
240
                o_clr_led0 <= { (pwr_counter[8:0] < r_clr_led0_r),
241
                                (pwr_counter[8:0] < r_clr_led0_g),
242
                                (pwr_counter[8:0] < r_clr_led0_b) };
243
 
244
        // CLR LED 1
245
        wire    [31:0]   w_clr_led1;
246
        reg     [8:0]    r_clr_led1_r, r_clr_led1_g, r_clr_led1_b;
247
        initial r_clr_led1_r = 9'h007;
248
        initial r_clr_led1_g = 9'h000;
249
        initial r_clr_led1_b = 9'h000;
250
        always @(posedge i_clk)
251
                if ((last_wb_stb)&&(last_wb_addr == 5'h9))
252
                begin
253
                        r_clr_led1_r <= { last_wb_data[26], last_wb_data[23:16] };
254
                        r_clr_led1_g <= { last_wb_data[25], last_wb_data[15: 8] };
255
                        r_clr_led1_b <= { last_wb_data[24], last_wb_data[ 7: 0] };
256
                end
257
        assign  w_clr_led1 = { 5'h0,
258
                        r_clr_led1_r[8], r_clr_led1_g[8], r_clr_led1_b[8],
259
                        r_clr_led1_r[7:0], r_clr_led1_g[7:0], r_clr_led1_b[7:0]
260
                };
261
        always @(posedge i_clk)
262
                o_clr_led1 <= { (pwr_counter[8:0] < r_clr_led1_r),
263
                                (pwr_counter[8:0] < r_clr_led1_g),
264
                                (pwr_counter[8:0] < r_clr_led1_b) };
265
        // CLR LED 0
266
        wire    [31:0]   w_clr_led2;
267
        reg     [8:0]    r_clr_led2_r, r_clr_led2_g, r_clr_led2_b;
268
        initial r_clr_led2_r = 9'h00f;
269
        initial r_clr_led2_g = 9'h000;
270
        initial r_clr_led2_b = 9'h000;
271
        always @(posedge i_clk)
272
                if ((last_wb_stb)&&(last_wb_addr == 5'ha))
273
                begin
274
                        r_clr_led2_r <= { last_wb_data[26], last_wb_data[23:16] };
275
                        r_clr_led2_g <= { last_wb_data[25], last_wb_data[15: 8] };
276
                        r_clr_led2_b <= { last_wb_data[24], last_wb_data[ 7: 0] };
277
                end
278
        assign  w_clr_led2 = { 5'h0,
279
                        r_clr_led2_r[8], r_clr_led2_g[8], r_clr_led2_b[8],
280
                        r_clr_led2_r[7:0], r_clr_led2_g[7:0], r_clr_led2_b[7:0]
281
                };
282
        always @(posedge i_clk)
283
                o_clr_led2 <= { (pwr_counter[8:0] < r_clr_led2_r),
284
                                (pwr_counter[8:0] < r_clr_led2_g),
285
                                (pwr_counter[8:0] < r_clr_led2_b) };
286
        // CLR LED 3
287
        wire    [31:0]   w_clr_led3;
288
        reg     [8:0]    r_clr_led3_r, r_clr_led3_g, r_clr_led3_b;
289
        initial r_clr_led3_r = 9'h01f; // LED is on far left
290
        initial r_clr_led3_g = 9'h000;
291
        initial r_clr_led3_b = 9'h000;
292
        always @(posedge i_clk)
293
                if ((last_wb_stb)&&(last_wb_addr == 5'hb))
294
                begin
295
                        r_clr_led3_r <= { last_wb_data[26], last_wb_data[23:16] };
296
                        r_clr_led3_g <= { last_wb_data[25], last_wb_data[15: 8] };
297
                        r_clr_led3_b <= { last_wb_data[24], last_wb_data[ 7: 0] };
298
                end
299
        assign  w_clr_led3 = { 5'h0,
300
                        r_clr_led3_r[8], r_clr_led3_g[8], r_clr_led3_b[8],
301
                        r_clr_led3_r[7:0], r_clr_led3_g[7:0], r_clr_led3_b[7:0]
302
                };
303
        always @(posedge i_clk)
304
                o_clr_led3 <= { (pwr_counter[8:0] < r_clr_led3_r),
305
                                (pwr_counter[8:0] < r_clr_led3_g),
306
                                (pwr_counter[8:0] < r_clr_led3_b) };
307
 
308
        //
309
        // The Calendar DATE
310
        //
311
        wire    [31:0]   date_data;
312
`define GET_DATE
313
`ifdef  GET_DATE
314
        wire    date_ack, date_stall;
315
        rtcdate thedate(i_clk, i_rtc_ppd,
316
                i_wb_cyc, last_wb_stb, (last_wb_addr==5'hc), last_wb_data,
317
                        date_ack, date_stall, date_data);
318
`else
319
        assign  date_data = 32'h20160000;
320
`endif
321
 
322
        //////
323
        //
324
        // The auxilliary UART
325
        //
326
        //////
327
 
328 17 dgisselq
        //
329
        // First the Auxilliary UART receiver
330
        //
331 3 dgisselq
        wire    auxrx_stb, auxrx_break, auxrx_perr, auxrx_ferr, auxck_uart;
332
        wire    [7:0]    rx_data_aux_port;
333
        rxuart  auxrx(i_clk, 1'b0, aux_setup, i_aux_rx,
334
                        auxrx_stb, rx_data_aux_port, auxrx_break,
335
                        auxrx_perr, auxrx_ferr, auxck_uart);
336
 
337
        wire    [31:0]   auxrx_data;
338
        reg     [11:0]   r_auxrx_data;
339
        always @(posedge i_clk)
340
                if (auxrx_stb)
341
                begin
342
                        r_auxrx_data[11] <= auxrx_break;
343
                        r_auxrx_data[10] <= auxrx_ferr;
344
                        r_auxrx_data[ 9] <= auxrx_perr;
345
                        r_auxrx_data[7:0]<= rx_data_aux_port;
346
                end
347
        always @(posedge i_clk)
348 17 dgisselq
                if(((i_wb_stb)&&(~i_wb_we)&&(i_wb_addr == 5'h0e))||(auxrx_stb))
349 3 dgisselq
                        r_auxrx_data[8] <= auxrx_stb;
350
        assign  o_aux_cts = auxrx_stb;
351
        assign  auxrx_data = { 20'h00, r_auxrx_data };
352
        assign  auxrx_int = r_auxrx_data[8];
353
 
354 17 dgisselq
        //
355
        // Then the auxilliary UART transmitter
356
        //
357 3 dgisselq
        wire    auxtx_busy;
358
        reg     [7:0]    r_auxtx_data;
359
        reg             r_auxtx_stb, r_auxtx_break;
360
        wire    [31:0]   auxtx_data;
361
        txuart  auxtx(i_clk, 1'b0, aux_setup,
362
                        r_auxtx_break, r_auxtx_stb, r_auxtx_data,
363
                        o_aux_tx, auxtx_busy);
364
        always @(posedge i_clk)
365 17 dgisselq
                if ((last_wb_stb)&&(last_wb_addr == 5'h0f))
366 3 dgisselq
                begin
367
                        r_auxtx_stb <= 1'b1;
368
                        r_auxtx_data <= last_wb_data[7:0];
369
                        r_auxtx_break<= last_wb_data[9];
370
                end else if (~auxtx_busy)
371
                begin
372
                        r_auxtx_stb <= 1'b0;
373
                        r_auxtx_data <= 8'h0;
374
                end
375
        assign  auxtx_data = { 20'h00,
376
                auxck_uart, o_aux_tx, r_auxtx_break, auxtx_busy,
377
                r_auxtx_data };
378
        assign  auxtx_int = ~auxtx_busy;
379
 
380
        //////
381
        //
382
        // The GPS UART
383
        //
384
        //////
385
 
386
        // First the receiver
387
        wire    gpsrx_stb, gpsrx_break, gpsrx_perr, gpsrx_ferr, gpsck_uart;
388
        wire    [7:0]    rx_data_gps_port;
389
        rxuart  gpsrx(i_clk, 1'b0, gps_setup, i_gps_rx,
390
                        gpsrx_stb, rx_data_gps_port, gpsrx_break,
391
                        gpsrx_perr, gpsrx_ferr, gpsck_uart);
392
 
393
        wire    [31:0]   gpsrx_data;
394
        reg     [11:0]   r_gpsrx_data;
395
        always @(posedge i_clk)
396
                if (gpsrx_stb)
397
                begin
398
                        r_gpsrx_data[11] <= gpsrx_break;
399
                        r_gpsrx_data[10] <= gpsrx_ferr;
400
                        r_gpsrx_data[ 9] <= gpsrx_perr;
401
                        r_gpsrx_data[7:0]<= rx_data_gps_port;
402
                end
403
        always @(posedge i_clk)
404 17 dgisselq
                if(((i_wb_stb)&&(~i_wb_we)&&(i_wb_addr == 5'h10))||(gpsrx_stb))
405 3 dgisselq
                        r_gpsrx_data[8] <= gpsrx_stb;
406
        assign  gpsrx_data = { 20'h00, r_gpsrx_data };
407
        assign  gpsrx_int = r_gpsrx_data[8];
408
 
409
 
410
        // Then the transmitter
411
        reg             r_gpstx_break, r_gpstx_stb;
412
        reg     [7:0]    r_gpstx_data;
413
        wire            gpstx_busy;
414
        wire    [31:0]   gpstx_data;
415
        txuart  gpstx(i_clk, 1'b0, gps_setup,
416
                        r_gpstx_break, r_gpstx_stb, r_gpstx_data,
417
                        o_gps_tx, gpstx_busy);
418
        always @(posedge i_clk)
419 17 dgisselq
                if ((last_wb_stb)&&(last_wb_addr == 5'h11))
420 3 dgisselq
                begin
421
                        r_gpstx_stb <= 1'b1;
422
                        r_gpstx_data <= last_wb_data[7:0];
423
                        r_gpstx_break<= last_wb_data[9];
424
                end else if (~gpstx_busy)
425
                begin
426
                        r_gpstx_stb <= 1'b0;
427
                        r_gpstx_data <= 8'h0;
428
                end
429
        assign  gpstx_data = { 20'h00,
430
                gpsck_uart, o_gps_tx, r_gpstx_break, gpstx_busy,
431
                r_gpstx_data };
432
 
433
        always @(posedge i_clk)
434
                case(i_wb_addr)
435
                5'h00: o_wb_data <= `DATESTAMP;
436
                5'h01: o_wb_data <= pic_data;
437
                5'h02: o_wb_data <= i_buserr;
438
                5'h03: o_wb_data <= pwr_counter;
439
                5'h04: o_wb_data <= w_btnsw;
440
                5'h05: o_wb_data <= w_ledreg;
441
                5'h06: o_wb_data <= { 2'b00, aux_setup };
442
                5'h07: o_wb_data <= { 2'b00, gps_setup };
443
                5'h08: o_wb_data <= w_clr_led0;
444
                5'h09: o_wb_data <= w_clr_led1;
445
                5'h0a: o_wb_data <= w_clr_led2;
446
                5'h0b: o_wb_data <= w_clr_led3;
447
                5'h0c: o_wb_data <= date_data;
448 17 dgisselq
                // 5'h0d: o_wb_data <= gpio_data;
449
                5'h0e: o_wb_data <= auxrx_data;
450
                5'h0f: o_wb_data <= auxtx_data;
451 3 dgisselq
                5'h10: o_wb_data <= gpsrx_data;
452
                5'h11: o_wb_data <= gpstx_data;
453
                // 5'hf: UART_SETUP
454
                // 4'h6: GPIO
455
                // ?? : GPS-UARTRX
456
                // ?? : GPS-UARTTX
457
                default: o_wb_data <= 32'h00;
458
                endcase
459
 
460
        assign  o_wb_stall = 1'b0;
461
        always @(posedge i_clk)
462
                o_wb_ack <= (i_wb_stb);
463
        assign  o_board_ints = { gpio_int, auxrx_int, auxtx_int, gpsrx_int, sw_int, btn_int };
464
 
465
 
466
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.