OpenCores
URL https://opencores.org/ocsvn/opencpu32/opencpu32/trunk

Subversion Repositories opencpu32

[/] [opencpu32/] [trunk/] [hdl/] [opencpu32/] [testCode/] [testCodeBin.dat] - Blame information for rev 39

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 39 leonardoar
00000000000000000000000000000000
2
00000000000000000000000000000001
3
00000000000000000000000000000010
4
00000000000000000000000000000011
5
00000000000000000000000000000100
6
00000000000000000000000000000101
7
00000000000000000000000000000110
8
00000000000000000000000000000111
9
00000000000000000000000000001000

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.