OpenCores
URL https://opencores.org/ocsvn/openhmc/openhmc/trunk

Subversion Repositories openhmc

[/] [openhmc/] [trunk/] [openHMC/] [sim/] [UVC/] [cag_rgm/] [sv/] [cag_rgm_sequencer.sv] - Blame information for rev 12

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 12 juko
/*
2
 *                              .--------------. .----------------. .------------.
3
 *                             | .------------. | .--------------. | .----------. |
4
 *                             | | ____  ____ | | | ____    ____ | | |   ______ | |
5
 *                             | ||_   ||   _|| | ||_   \  /   _|| | | .' ___  || |
6
 *       ___  _ __   ___ _ __  | |  | |__| |  | | |  |   \/   |  | | |/ .'   \_|| |
7
 *      / _ \| '_ \ / _ \ '_ \ | |  |  __  |  | | |  | |\  /| |  | | || |       | |
8
 *       (_) | |_) |  __/ | | || | _| |  | |_ | | | _| |_\/_| |_ | | |\ `.___.'\| |
9
 *      \___/| .__/ \___|_| |_|| ||____||____|| | ||_____||_____|| | | `._____.'| |
10
 *           | |               | |            | | |              | | |          | |
11
 *           |_|               | '------------' | '--------------' | '----------' |
12
 *                              '--------------' '----------------' '------------'
13
 *
14
 *  openHMC - An Open Source Hybrid Memory Cube Controller
15
 *  (C) Copyright 2014 Computer Architecture Group - University of Heidelberg
16
 *  www.ziti.uni-heidelberg.de
17
 *  B6, 26
18
 *  68159 Mannheim
19
 *  Germany
20
 *
21
 *  Contact: openhmc@ziti.uni-heidelberg.de
22
 *  http://ra.ziti.uni-heidelberg.de/openhmc
23
 *
24
 *   This source file is free software: you can redistribute it and/or modify
25
 *   it under the terms of the GNU Lesser General Public License as published by
26
 *   the Free Software Foundation, either version 3 of the License, or
27
 *   (at your option) any later version.
28
 *
29
 *   This source file is distributed in the hope that it will be useful,
30
 *   but WITHOUT ANY WARRANTY; without even the implied warranty of
31
 *   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
32
 *   GNU Lesser General Public License for more details.
33
 *
34
 *   You should have received a copy of the GNU Lesser General Public License
35
 *   along with this source file.  If not, see .
36
 *
37
 *
38
 */
39
 
40
typedef class cag_rgm_write_sequence;
41
typedef class cag_rgm_read_sequence;
42
 
43
class cag_rgm_sequencer extends uvm_sequencer #(cag_rgm_transfer);
44
 
45
         `uvm_analysis_imp_decl(_resp)
46
    uvm_analysis_imp_resp #(cag_rgm_transfer,cag_rgm_sequencer) resp_port;
47
 
48
    int unsigned source_tag_count = 1;
49
    protected mailbox #(int unsigned) source_tags;
50
 
51
    protected cag_rgm_register_file m_rf;
52
    protected cag_rgm_write_sequence write_seq;
53
    protected cag_rgm_read_sequence read_seq;
54
 
55
    protected mailbox #(cag_rgm_transfer) responses_mb;
56
    cag_rgm_transfer responses_q[$];
57
    semaphore responses_s;
58
    event     new_rsp;
59
 
60
    //`uvm_sequencer_utils_begin(cag_rgm_sequencer)
61
    //    `uvm_field_int(source_tag_count, UVM_ALL_ON)
62
    //`uvm_sequencer_utils_end
63
    `uvm_component_utils_begin(cag_rgm_sequencer)
64
        `uvm_field_int(source_tag_count, UVM_ALL_ON)
65
    `uvm_component_utils_end
66
 
67
        function new(string name = "cag_rgm_sequencer",uvm_component parent);
68
                super.new(name,parent);
69
                //count = 0;
70
                //`uvm_update_sequence_lib
71
 
72
                resp_port = new("resp_port",this);
73
                responses_mb = new();
74
                responses_s = new(1);
75
                source_tags = new();
76
        endfunction : new
77
 
78
        function void build();
79
                super.build();
80
 
81
                for(int unsigned i = 0; i < source_tag_count; i++)
82
                        void'(source_tags.try_put(i));
83
        endfunction : build
84
 
85
    task run();
86
        cag_rgm_transfer response;
87
 
88
        forever begin
89
            responses_mb.get(response);
90
            //uvm_report_info("DEBUG",$psprintf("received rsp with tag %0d",response.source_tag),UVM_NONE);
91
            //$stop;
92
            responses_s.get(1);
93
            responses_q.push_back(response);
94
            ->new_rsp;
95
            responses_s.put(1);
96
            //$stop;
97
        end
98
    endtask : run
99
 
100
        function void set_rf(cag_rgm_register_file rf);
101
                this.m_rf = rf;
102
        endfunction : set_rf
103
 
104
        function void write_resp(input cag_rgm_transfer transfer);
105
                if(transfer.command == CAG_RGM_READ_RESPONSE)
106
                        assert(responses_mb.try_put(transfer));
107
        endfunction : write_resp
108
 
109
    task get_response(int unsigned source_tag, ref cag_rgm_transfer rsp);
110
 
111
        //responses.get(rsp);
112
        //if(rsp.source_tag != source_tag)
113
        //    p_sequencer.uvm_report_fatal(get_type_name(),$psprintf("got the wrong source tag: %h",rsp.source_tag));
114
        forever begin
115
            responses_s.get(1);
116
            while(responses_q.size() == 0) begin
117
                responses_s.put(1);
118
                //$stop;
119
                @new_rsp;
120
                //$stop;
121
                responses_s.get(1);
122
            end
123
 
124
            foreach(responses_q[i]) begin
125
               //uvm_report_info("DEBUG",$psprintf("foreach tag: %0d",responses_q[i].source_tag),UVM_NONE);
126
               if(responses_q[i].source_tag == source_tag) begin
127
                  rsp = responses_q[i];
128
                  responses_q.delete(i);
129
                  responses_s.put(1);
130
                  //$stop;
131
                  return;
132
               end
133
            end
134
 
135
            responses_s.put(1);
136
            //$stop;
137
            @new_rsp;
138
        end
139
 
140
    endtask : get_response
141
 
142
        task m_get_source_tag(output int unsigned tag);
143
                source_tags.get(tag);
144
        endtask : m_get_source_tag
145
 
146
        task m_release_source_tag(int unsigned tag);
147
                source_tags.put(tag);
148
        endtask : m_release_source_tag
149
 
150
        //
151
        // rf access functions
152
        //
153
        function cag_rgm_base get_by_name(string name = "");
154
                return m_rf.get_by_name(name);
155
        endfunction : get_by_name
156
 
157
        function cag_rgm_base get_by_address(bit [63:0] address);
158
                return m_rf.get_by_address(address);
159
        endfunction : get_by_address
160
 
161
        task write_reg(cag_rgm_base reg_);
162
                write_seq = cag_rgm_write_sequence::type_id::create("write_seq");
163
                write_seq.req_ = reg_;
164
                write_seq.start(this);
165
        endtask : write_reg
166
 
167
        task read_reg(cag_rgm_base reg_);
168
                read_seq = cag_rgm_read_sequence::type_id::create("read_seq");
169
                read_seq.req_ = reg_;
170
                read_seq.start(this);
171
        endtask : read_reg
172
 
173
endclass : cag_rgm_sequencer
174
 
175
/******************************************************************************
176
*
177
* REVISION HISTORY:
178
*
179
*******************************************************************************/
180
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.