OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [rtl/] [verilog/] [omsp_clock_module.v] - Blame information for rev 106

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olivier.gi
//----------------------------------------------------------------------------
2
// Copyright (C) 2001 Authors
3
//
4
// This source file may be used and distributed without restriction provided
5
// that this copyright statement is not removed from the file and that any
6
// derivative work contains the original copyright notice and the associated
7
// disclaimer.
8
//
9
// This source file is free software; you can redistribute it and/or modify
10
// it under the terms of the GNU Lesser General Public License as published
11
// by the Free Software Foundation; either version 2.1 of the License, or
12
// (at your option) any later version.
13
//
14
// This source is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
16
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
17
// License for more details.
18
//
19
// You should have received a copy of the GNU Lesser General Public License
20
// along with this source; if not, write to the Free Software Foundation,
21
// Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
22
//
23
//----------------------------------------------------------------------------
24
//
25 34 olivier.gi
// *File Name: omsp_clock_module.v
26 2 olivier.gi
// 
27
// *Module Description:
28
//                       Basic clock module implementation.
29
//                      Since the openMSP430 mainly targets FPGA and hobby
30
//                     designers. The clock structure has been greatly
31
//                     symplified in order to ease integration.
32
//                      See online wiki for more info.
33
//
34
// *Author(s):
35
//              - Olivier Girard,    olgirard@gmail.com
36
//
37
//----------------------------------------------------------------------------
38 17 olivier.gi
// $Rev: 106 $
39
// $LastChangedBy: olivier.girard $
40
// $LastChangedDate: 2011-03-25 23:01:03 +0100 (Fri, 25 Mar 2011) $
41
//----------------------------------------------------------------------------
42 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
43
`else
44 23 olivier.gi
`include "openMSP430_defines.v"
45 103 olivier.gi
`endif
46 2 olivier.gi
 
47 34 olivier.gi
module  omsp_clock_module (
48 2 olivier.gi
 
49
// OUTPUTs
50
    aclk_en,                      // ACLK enable
51 106 olivier.gi
    cpu_en_s,                     // Enable CPU code execution (synchronous)
52
    dbg_clk,                      // Debug unit clock
53
    dbg_en_s,                     // Debug interface enable (synchronous)
54
    dbg_rst,                      // Debug unit reset
55 2 olivier.gi
    mclk,                         // Main system clock
56
    per_dout,                     // Peripheral data output
57
    por,                          // Power-on reset
58
    puc,                          // Main system reset
59
    smclk_en,                     // SMCLK enable
60
 
61
// INPUTs
62 106 olivier.gi
    cpu_en,                       // Enable CPU code execution (asynchronous)
63
    dbg_cpu_reset,                // Reset CPU from debug interface
64
    dbg_en,                       // Debug interface enable (asynchronous)
65 2 olivier.gi
    dco_clk,                      // Fast oscillator (fast clock)
66
    lfxt_clk,                     // Low frequency oscillator (typ 32kHz)
67
    oscoff,                       // Turns off LFXT1 clock input
68
    per_addr,                     // Peripheral address
69
    per_din,                      // Peripheral data input
70
    per_en,                       // Peripheral enable (high active)
71 106 olivier.gi
    per_we,                       // Peripheral write enable (high active)
72
    reset_n,                      // Reset Pin (low active, asynchronous)
73 2 olivier.gi
    scg1,                         // System clock generator 1. Turns off the SMCLK
74
    wdt_reset                     // Watchdog-timer reset
75
);
76
 
77
// OUTPUTs
78
//=========
79
output              aclk_en;      // ACLK enable
80 106 olivier.gi
output              cpu_en_s;     // Enable CPU code execution (synchronous)
81
output              dbg_clk;      // Debug unit clock
82
output              dbg_en_s;     // Debug unit enable (synchronous)
83
output              dbg_rst;      // Debug unit reset
84 2 olivier.gi
output              mclk;         // Main system clock
85
output       [15:0] per_dout;     // Peripheral data output
86
output              por;          // Power-on reset
87
output              puc;          // Main system reset
88
output              smclk_en;     // SMCLK enable
89
 
90
// INPUTs
91
//=========
92 106 olivier.gi
input               cpu_en;       // Enable CPU code execution (asynchronous)
93
input               dbg_cpu_reset;// Reset CPU from debug interface
94
input               dbg_en;       // Debug interface enable (asynchronous)
95 2 olivier.gi
input               dco_clk;      // Fast oscillator (fast clock)
96
input               lfxt_clk;     // Low frequency oscillator (typ 32kHz)
97
input               oscoff;       // Turns off LFXT1 clock input
98
input         [7:0] per_addr;     // Peripheral address
99
input        [15:0] per_din;      // Peripheral data input
100
input               per_en;       // Peripheral enable (high active)
101 106 olivier.gi
input         [1:0] per_we;       // Peripheral write enable (high active)
102
input               reset_n;      // Reset Pin (low active, asynchronous)
103 2 olivier.gi
input               scg1;         // System clock generator 1. Turns off the SMCLK
104
input               wdt_reset;    // Watchdog-timer reset
105
 
106
 
107
//=============================================================================
108
// 1)  PARAMETER DECLARATION
109
//=============================================================================
110
 
111
// Register addresses
112
parameter           BCSCTL1    = 9'h057;
113
parameter           BCSCTL2    = 9'h058;
114
 
115
// Register one-hot decoder
116
parameter           BCSCTL1_D  = (256'h1 << (BCSCTL1 /2));
117
parameter           BCSCTL2_D  = (256'h1 << (BCSCTL2 /2));
118
 
119
 
120
//============================================================================
121
// 2)  REGISTER DECODER
122
//============================================================================
123
 
124
// Register address decode
125
reg  [255:0]  reg_dec;
126
always @(per_addr)
127
  case (per_addr)
128
    (BCSCTL1 /2):     reg_dec  =  BCSCTL1_D;
129
    (BCSCTL2 /2):     reg_dec  =  BCSCTL2_D;
130
    default     :     reg_dec  =  {256{1'b0}};
131
  endcase
132
 
133
// Read/Write probes
134 106 olivier.gi
wire         reg_lo_write =  per_we[0] & per_en;
135
wire         reg_hi_write =  per_we[1] & per_en;
136
wire         reg_read     = ~|per_we   & per_en;
137 2 olivier.gi
 
138
// Read/Write vectors
139
wire [255:0] reg_hi_wr    = reg_dec & {256{reg_hi_write}};
140
wire [255:0] reg_lo_wr    = reg_dec & {256{reg_lo_write}};
141
wire [255:0] reg_rd       = reg_dec & {256{reg_read}};
142
 
143
 
144
//============================================================================
145
// 3) REGISTERS
146
//============================================================================
147
 
148
// BCSCTL1 Register
149
//--------------
150
reg  [7:0] bcsctl1;
151
wire       bcsctl1_wr  = BCSCTL1[0] ? reg_hi_wr[BCSCTL1/2] : reg_lo_wr[BCSCTL1/2];
152
wire [7:0] bcsctl1_nxt = BCSCTL1[0] ? per_din[15:8]        : per_din[7:0];
153
 
154
always @ (posedge mclk or posedge puc)
155
  if (puc)              bcsctl1  <=  8'h00;
156
  else if (bcsctl1_wr)  bcsctl1  <=  bcsctl1_nxt & 8'h30; // Mask unused bits
157
 
158
 
159
// BCSCTL2 Register
160
//--------------
161
reg  [7:0] bcsctl2;
162
wire       bcsctl2_wr  = BCSCTL2[0] ? reg_hi_wr[BCSCTL2/2] : reg_lo_wr[BCSCTL2/2];
163
wire [7:0] bcsctl2_nxt = BCSCTL2[0] ? per_din[15:8]        : per_din[7:0];
164
 
165
always @ (posedge mclk or posedge puc)
166
  if (puc)              bcsctl2  <=  8'h00;
167
  else if (bcsctl2_wr)  bcsctl2  <=  bcsctl2_nxt & 8'h0e; // Mask unused bits
168
 
169
 
170
//============================================================================
171
// 4) DATA OUTPUT GENERATION
172
//============================================================================
173
 
174
// Data output mux
175 85 olivier.gi
wire [15:0] bcsctl1_rd   = {8'h00, (bcsctl1  & {8{reg_rd[BCSCTL1/2]}})}  << (8 & {4{BCSCTL1[0]}});
176
wire [15:0] bcsctl2_rd   = {8'h00, (bcsctl2  & {8{reg_rd[BCSCTL2/2]}})}  << (8 & {4{BCSCTL2[0]}});
177 2 olivier.gi
 
178
wire [15:0] per_dout =  bcsctl1_rd   |
179
                        bcsctl2_rd;
180
 
181
 
182
//=============================================================================
183
// 5)  CLOCK GENERATION
184
//=============================================================================
185
 
186 106 olivier.gi
// Synchronize CPU_EN signal
187
//---------------------------------------
188
reg  [1:0] cpu_en_sync;
189
always @ (posedge mclk or posedge por)
190
  if (por) cpu_en_sync <=  2'b00;
191
  else     cpu_en_sync <=  {cpu_en_sync[0], cpu_en};
192
 
193
assign     cpu_en_s     =   cpu_en_sync[1];
194
 
195
 
196 2 olivier.gi
// Synchronize LFXT_CLK & edge detection
197
//---------------------------------------
198
reg  [2:0] lfxt_clk_s;
199
 
200 106 olivier.gi
always @ (posedge mclk or posedge por)
201
  if (por) lfxt_clk_s <=  3'b000;
202 2 olivier.gi
  else     lfxt_clk_s <=  {lfxt_clk_s[1:0], lfxt_clk};
203
 
204
wire lfxt_clk_en = (lfxt_clk_s[1] & ~lfxt_clk_s[2]) & ~(oscoff & ~bcsctl2[`SELS]);
205
 
206
 
207
// Generate main system clock
208
//----------------------------
209
 
210
wire  mclk   =  dco_clk;
211
wire  mclk_n = !dco_clk;
212
 
213
 
214
// Generate ACLK
215
//----------------------------
216
 
217 85 olivier.gi
reg       aclk_en;
218 2 olivier.gi
reg [2:0] aclk_div;
219
 
220 85 olivier.gi
wire      aclk_en_nxt = lfxt_clk_en & ((bcsctl1[`DIVAx]==2'b00) ?  1'b1          :
221
                                       (bcsctl1[`DIVAx]==2'b01) ?  aclk_div[0]   :
222
                                       (bcsctl1[`DIVAx]==2'b10) ? &aclk_div[1:0] :
223
                                                                  &aclk_div[2:0]);
224
 
225 2 olivier.gi
always @ (posedge mclk or posedge puc)
226 85 olivier.gi
  if (puc)  aclk_en <=  1'b0;
227 106 olivier.gi
  else      aclk_en <=  aclk_en_nxt & cpu_en_s;
228 85 olivier.gi
 
229
always @ (posedge mclk or posedge puc)
230 2 olivier.gi
  if (puc)                                         aclk_div <=  3'h0;
231
  else if ((bcsctl1[`DIVAx]!=2'b00) & lfxt_clk_en) aclk_div <=  aclk_div+3'h1;
232
 
233 85 olivier.gi
 
234 2 olivier.gi
// Generate SMCLK
235
//----------------------------
236
 
237 85 olivier.gi
reg       smclk_en;
238 2 olivier.gi
reg [2:0] smclk_div;
239
 
240 85 olivier.gi
wire      smclk_in     = ~scg1 & (bcsctl2[`SELS] ? lfxt_clk_en : 1'b1);
241 2 olivier.gi
 
242 85 olivier.gi
wire      smclk_en_nxt = smclk_in & ((bcsctl2[`DIVSx]==2'b00) ?  1'b1           :
243
                                     (bcsctl2[`DIVSx]==2'b01) ?  smclk_div[0]   :
244
                                     (bcsctl2[`DIVSx]==2'b10) ? &smclk_div[1:0] :
245
                                                                &smclk_div[2:0]);
246 2 olivier.gi
 
247
always @ (posedge mclk or posedge puc)
248 85 olivier.gi
  if (puc)  smclk_en <=  1'b0;
249 106 olivier.gi
  else      smclk_en <=  smclk_en_nxt & cpu_en_s;
250 85 olivier.gi
 
251
always @ (posedge mclk or posedge puc)
252 2 olivier.gi
  if (puc)                                      smclk_div <=  3'h0;
253
  else if ((bcsctl2[`DIVSx]!=2'b00) & smclk_in) smclk_div <=  smclk_div+3'h1;
254
 
255
 
256 106 olivier.gi
// Generate DBG_CLK
257
//----------------------------
258
 
259
assign  dbg_clk = mclk;
260
 
261
 
262 2 olivier.gi
//=============================================================================
263
// 6)  RESET GENERATION
264
//=============================================================================
265
 
266
// Generate synchronized POR
267 106 olivier.gi
wire      por_reset_a  =  !reset_n;
268 2 olivier.gi
 
269
reg [1:0] por_s;
270 106 olivier.gi
always @(posedge mclk or posedge por_reset_a)
271
  if (por_reset_a) por_s  <=  2'b11;
272
  else             por_s  <=  {por_s[0], 1'b0};
273 2 olivier.gi
wire   por = por_s[1];
274
 
275 106 olivier.gi
 
276 2 olivier.gi
// Generate main system reset
277 106 olivier.gi
wire      puc_reset  = por | wdt_reset | dbg_cpu_reset;
278 2 olivier.gi
 
279
reg [1:0] puc_s;
280 106 olivier.gi
always @(posedge mclk or posedge puc_reset)
281 2 olivier.gi
  if (puc_reset) puc_s  <=  2'b11;
282
  else           puc_s  <=  {puc_s[0], 1'b0};
283
wire   puc = puc_s[1];
284
 
285
 
286 106 olivier.gi
// Generate debug unit reset
287
`ifdef DBG_EN
288
reg [1:0] dbg_rst_s;
289
always @(posedge mclk or posedge por)
290
  if (por) dbg_rst_s  <=  2'b11;
291
  else     dbg_rst_s  <=  {dbg_rst_s[0], ~dbg_en};
292
 
293
`else
294
wire [1:0] dbg_rst_s   = 2'b11;
295
`endif
296
 
297
wire   dbg_en_s = ~dbg_rst_s[1];
298
wire   dbg_rst  =  dbg_rst_s[1];
299
 
300
 
301 34 olivier.gi
endmodule // omsp_clock_module
302 2 olivier.gi
 
303 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
304
`else
305 33 olivier.gi
`include "openMSP430_undefines.v"
306 103 olivier.gi
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.