OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [rtl/] [verilog/] [omsp_clock_module.v] - Blame information for rev 117

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 2 olivier.gi
//----------------------------------------------------------------------------
2 117 olivier.gi
// Copyright (C) 2009 , Olivier Girard
3 2 olivier.gi
//
4 117 olivier.gi
// Redistribution and use in source and binary forms, with or without
5
// modification, are permitted provided that the following conditions
6
// are met:
7
//     * Redistributions of source code must retain the above copyright
8
//       notice, this list of conditions and the following disclaimer.
9
//     * Redistributions in binary form must reproduce the above copyright
10
//       notice, this list of conditions and the following disclaimer in the
11
//       documentation and/or other materials provided with the distribution.
12
//     * Neither the name of the authors nor the names of its contributors
13
//       may be used to endorse or promote products derived from this software
14
//       without specific prior written permission.
15 2 olivier.gi
//
16 117 olivier.gi
// THIS SOFTWARE IS PROVIDED BY THE COPYRIGHT HOLDERS AND CONTRIBUTORS "AS IS"
17
// AND ANY EXPRESS OR IMPLIED WARRANTIES, INCLUDING, BUT NOT LIMITED TO, THE
18
// IMPLIED WARRANTIES OF MERCHANTABILITY AND FITNESS FOR A PARTICULAR PURPOSE
19
// ARE DISCLAIMED. IN NO EVENT SHALL THE COPYRIGHT HOLDER OR CONTRIBUTORS BE
20
// LIABLE FOR ANY DIRECT, INDIRECT, INCIDENTAL, SPECIAL, EXEMPLARY,
21
// OR CONSEQUENTIAL DAMAGES (INCLUDING, BUT NOT LIMITED TO, PROCUREMENT OF
22
// SUBSTITUTE GOODS OR SERVICES; LOSS OF USE, DATA, OR PROFITS; OR BUSINESS
23
// INTERRUPTION) HOWEVER CAUSED AND ON ANY THEORY OF LIABILITY, WHETHER IN
24
// CONTRACT, STRICT LIABILITY, OR TORT (INCLUDING NEGLIGENCE OR OTHERWISE)
25
// ARISING IN ANY WAY OUT OF THE USE OF THIS SOFTWARE, EVEN IF ADVISED OF
26
// THE POSSIBILITY OF SUCH DAMAGE
27 2 olivier.gi
//
28
//----------------------------------------------------------------------------
29
//
30 34 olivier.gi
// *File Name: omsp_clock_module.v
31 2 olivier.gi
// 
32
// *Module Description:
33
//                       Basic clock module implementation.
34
//                      Since the openMSP430 mainly targets FPGA and hobby
35
//                     designers. The clock structure has been greatly
36
//                     symplified in order to ease integration.
37
//                      See online wiki for more info.
38
//
39
// *Author(s):
40
//              - Olivier Girard,    olgirard@gmail.com
41
//
42
//----------------------------------------------------------------------------
43 17 olivier.gi
// $Rev: 117 $
44
// $LastChangedBy: olivier.girard $
45
// $LastChangedDate: 2011-06-23 21:30:51 +0200 (Thu, 23 Jun 2011) $
46
//----------------------------------------------------------------------------
47 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
48
`else
49 23 olivier.gi
`include "openMSP430_defines.v"
50 103 olivier.gi
`endif
51 2 olivier.gi
 
52 34 olivier.gi
module  omsp_clock_module (
53 2 olivier.gi
 
54
// OUTPUTs
55
    aclk_en,                      // ACLK enable
56 106 olivier.gi
    cpu_en_s,                     // Enable CPU code execution (synchronous)
57
    dbg_clk,                      // Debug unit clock
58
    dbg_en_s,                     // Debug interface enable (synchronous)
59
    dbg_rst,                      // Debug unit reset
60 2 olivier.gi
    mclk,                         // Main system clock
61
    per_dout,                     // Peripheral data output
62
    por,                          // Power-on reset
63 111 olivier.gi
    puc_rst,                      // Main system reset
64 2 olivier.gi
    smclk_en,                     // SMCLK enable
65
 
66
// INPUTs
67 106 olivier.gi
    cpu_en,                       // Enable CPU code execution (asynchronous)
68
    dbg_cpu_reset,                // Reset CPU from debug interface
69
    dbg_en,                       // Debug interface enable (asynchronous)
70 2 olivier.gi
    dco_clk,                      // Fast oscillator (fast clock)
71
    lfxt_clk,                     // Low frequency oscillator (typ 32kHz)
72
    oscoff,                       // Turns off LFXT1 clock input
73
    per_addr,                     // Peripheral address
74
    per_din,                      // Peripheral data input
75
    per_en,                       // Peripheral enable (high active)
76 106 olivier.gi
    per_we,                       // Peripheral write enable (high active)
77
    reset_n,                      // Reset Pin (low active, asynchronous)
78 2 olivier.gi
    scg1,                         // System clock generator 1. Turns off the SMCLK
79
    wdt_reset                     // Watchdog-timer reset
80
);
81
 
82
// OUTPUTs
83
//=========
84
output              aclk_en;      // ACLK enable
85 106 olivier.gi
output              cpu_en_s;     // Enable CPU code execution (synchronous)
86
output              dbg_clk;      // Debug unit clock
87
output              dbg_en_s;     // Debug unit enable (synchronous)
88
output              dbg_rst;      // Debug unit reset
89 2 olivier.gi
output              mclk;         // Main system clock
90
output       [15:0] per_dout;     // Peripheral data output
91
output              por;          // Power-on reset
92 111 olivier.gi
output              puc_rst;      // Main system reset
93 2 olivier.gi
output              smclk_en;     // SMCLK enable
94
 
95
// INPUTs
96
//=========
97 106 olivier.gi
input               cpu_en;       // Enable CPU code execution (asynchronous)
98
input               dbg_cpu_reset;// Reset CPU from debug interface
99
input               dbg_en;       // Debug interface enable (asynchronous)
100 2 olivier.gi
input               dco_clk;      // Fast oscillator (fast clock)
101
input               lfxt_clk;     // Low frequency oscillator (typ 32kHz)
102
input               oscoff;       // Turns off LFXT1 clock input
103 111 olivier.gi
input        [13:0] per_addr;     // Peripheral address
104 2 olivier.gi
input        [15:0] per_din;      // Peripheral data input
105
input               per_en;       // Peripheral enable (high active)
106 106 olivier.gi
input         [1:0] per_we;       // Peripheral write enable (high active)
107
input               reset_n;      // Reset Pin (low active, asynchronous)
108 2 olivier.gi
input               scg1;         // System clock generator 1. Turns off the SMCLK
109
input               wdt_reset;    // Watchdog-timer reset
110
 
111
 
112
//=============================================================================
113
// 1)  PARAMETER DECLARATION
114
//=============================================================================
115
 
116 111 olivier.gi
// Register base address (must be aligned to decoder bit width)
117
parameter       [14:0] BASE_ADDR   = 15'h0050;
118 2 olivier.gi
 
119 111 olivier.gi
// Decoder bit width (defines how many bits are considered for address decoding)
120
parameter              DEC_WD      =  4;
121
 
122
// Register addresses offset
123
parameter [DEC_WD-1:0] BCSCTL1     =  'h7,
124
                       BCSCTL2     =  'h8;
125
 
126
// Register one-hot decoder utilities
127
parameter              DEC_SZ      =  2**DEC_WD;
128
parameter [DEC_SZ-1:0] BASE_REG    =  {{DEC_SZ-1{1'b0}}, 1'b1};
129
 
130 2 olivier.gi
// Register one-hot decoder
131 111 olivier.gi
parameter [DEC_SZ-1:0] BCSCTL1_D   = (BASE_REG << BCSCTL1),
132
                       BCSCTL2_D   = (BASE_REG << BCSCTL2);
133 2 olivier.gi
 
134
 
135
//============================================================================
136
// 2)  REGISTER DECODER
137
//============================================================================
138
 
139 111 olivier.gi
// Local register selection
140
wire              reg_sel      =  per_en & (per_addr[13:DEC_WD-1]==BASE_ADDR[14:DEC_WD]);
141
 
142
// Register local address
143
wire [DEC_WD-1:0] reg_addr     =  {1'b0, per_addr[DEC_WD-2:0]};
144
 
145 2 olivier.gi
// Register address decode
146 111 olivier.gi
wire [DEC_SZ-1:0] reg_dec      = (BCSCTL1_D  &  {DEC_SZ{(reg_addr==(BCSCTL1 >>1))}}) |
147
                                 (BCSCTL2_D  &  {DEC_SZ{(reg_addr==(BCSCTL2 >>1))}});
148 2 olivier.gi
 
149
// Read/Write probes
150 111 olivier.gi
wire              reg_lo_write =  per_we[0] & reg_sel;
151
wire              reg_hi_write =  per_we[1] & reg_sel;
152
wire              reg_read     = ~|per_we   & reg_sel;
153 2 olivier.gi
 
154
// Read/Write vectors
155 111 olivier.gi
wire [DEC_SZ-1:0] reg_hi_wr    = reg_dec & {DEC_SZ{reg_hi_write}};
156
wire [DEC_SZ-1:0] reg_lo_wr    = reg_dec & {DEC_SZ{reg_lo_write}};
157
wire [DEC_SZ-1:0] reg_rd       = reg_dec & {DEC_SZ{reg_read}};
158 2 olivier.gi
 
159
 
160
//============================================================================
161
// 3) REGISTERS
162
//============================================================================
163
 
164
// BCSCTL1 Register
165
//--------------
166
reg  [7:0] bcsctl1;
167 111 olivier.gi
wire       bcsctl1_wr  = BCSCTL1[0] ? reg_hi_wr[BCSCTL1] : reg_lo_wr[BCSCTL1];
168
wire [7:0] bcsctl1_nxt = BCSCTL1[0] ? per_din[15:8]      : per_din[7:0];
169 2 olivier.gi
 
170 111 olivier.gi
always @ (posedge mclk or posedge puc_rst)
171
  if (puc_rst)          bcsctl1  <=  8'h00;
172 2 olivier.gi
  else if (bcsctl1_wr)  bcsctl1  <=  bcsctl1_nxt & 8'h30; // Mask unused bits
173
 
174
 
175
// BCSCTL2 Register
176
//--------------
177
reg  [7:0] bcsctl2;
178 111 olivier.gi
wire       bcsctl2_wr  = BCSCTL2[0] ? reg_hi_wr[BCSCTL2] : reg_lo_wr[BCSCTL2];
179
wire [7:0] bcsctl2_nxt = BCSCTL2[0] ? per_din[15:8]      : per_din[7:0];
180 2 olivier.gi
 
181 111 olivier.gi
always @ (posedge mclk or posedge puc_rst)
182
  if (puc_rst)          bcsctl2  <=  8'h00;
183 2 olivier.gi
  else if (bcsctl2_wr)  bcsctl2  <=  bcsctl2_nxt & 8'h0e; // Mask unused bits
184
 
185
 
186
//============================================================================
187
// 4) DATA OUTPUT GENERATION
188
//============================================================================
189
 
190
// Data output mux
191 111 olivier.gi
wire [15:0] bcsctl1_rd   = {8'h00, (bcsctl1  & {8{reg_rd[BCSCTL1]}})}  << (8 & {4{BCSCTL1[0]}});
192
wire [15:0] bcsctl2_rd   = {8'h00, (bcsctl2  & {8{reg_rd[BCSCTL2]}})}  << (8 & {4{BCSCTL2[0]}});
193 2 olivier.gi
 
194
wire [15:0] per_dout =  bcsctl1_rd   |
195
                        bcsctl2_rd;
196
 
197
 
198
//=============================================================================
199
// 5)  CLOCK GENERATION
200
//=============================================================================
201
 
202 106 olivier.gi
// Synchronize CPU_EN signal
203
//---------------------------------------
204 111 olivier.gi
`ifdef SYNC_CPU_EN
205
omsp_sync_cell sync_cell_cpu_en (
206
    .data_out (cpu_en_s),
207
    .clk      (mclk),
208
    .data_in  (cpu_en),
209
    .rst      (por)
210
);
211
`else
212
   assign cpu_en_s = cpu_en;
213
`endif
214 106 olivier.gi
 
215 2 olivier.gi
// Synchronize LFXT_CLK & edge detection
216
//---------------------------------------
217 111 olivier.gi
wire lfxt_clk_s;
218
 
219
omsp_sync_cell sync_cell_lfxt_clk (
220
    .data_out (lfxt_clk_s),
221
    .clk      (mclk),
222
    .data_in  (lfxt_clk),
223
    .rst      (por)
224
);
225
 
226
reg  lfxt_clk_dly;
227 2 olivier.gi
 
228 106 olivier.gi
always @ (posedge mclk or posedge por)
229 111 olivier.gi
  if (por) lfxt_clk_dly <=  1'b0;
230
  else     lfxt_clk_dly <=  lfxt_clk_s;
231 2 olivier.gi
 
232 111 olivier.gi
wire lfxt_clk_en = (lfxt_clk_s & ~lfxt_clk_dly) & ~(oscoff & ~bcsctl2[`SELS]);
233 2 olivier.gi
 
234
 
235
// Generate main system clock
236
//----------------------------
237
 
238
wire  mclk   =  dco_clk;
239
wire  mclk_n = !dco_clk;
240
 
241
 
242
// Generate ACLK
243
//----------------------------
244
 
245 85 olivier.gi
reg       aclk_en;
246 2 olivier.gi
reg [2:0] aclk_div;
247
 
248 85 olivier.gi
wire      aclk_en_nxt = lfxt_clk_en & ((bcsctl1[`DIVAx]==2'b00) ?  1'b1          :
249
                                       (bcsctl1[`DIVAx]==2'b01) ?  aclk_div[0]   :
250
                                       (bcsctl1[`DIVAx]==2'b10) ? &aclk_div[1:0] :
251
                                                                  &aclk_div[2:0]);
252
 
253 111 olivier.gi
always @ (posedge mclk or posedge puc_rst)
254
  if (puc_rst)  aclk_en <=  1'b0;
255
  else          aclk_en <=  aclk_en_nxt & cpu_en_s;
256 85 olivier.gi
 
257 111 olivier.gi
always @ (posedge mclk or posedge puc_rst)
258
  if (puc_rst)                                     aclk_div <=  3'h0;
259 2 olivier.gi
  else if ((bcsctl1[`DIVAx]!=2'b00) & lfxt_clk_en) aclk_div <=  aclk_div+3'h1;
260
 
261 85 olivier.gi
 
262 2 olivier.gi
// Generate SMCLK
263
//----------------------------
264
 
265 85 olivier.gi
reg       smclk_en;
266 2 olivier.gi
reg [2:0] smclk_div;
267
 
268 85 olivier.gi
wire      smclk_in     = ~scg1 & (bcsctl2[`SELS] ? lfxt_clk_en : 1'b1);
269 2 olivier.gi
 
270 85 olivier.gi
wire      smclk_en_nxt = smclk_in & ((bcsctl2[`DIVSx]==2'b00) ?  1'b1           :
271
                                     (bcsctl2[`DIVSx]==2'b01) ?  smclk_div[0]   :
272
                                     (bcsctl2[`DIVSx]==2'b10) ? &smclk_div[1:0] :
273
                                                                &smclk_div[2:0]);
274 2 olivier.gi
 
275 111 olivier.gi
always @ (posedge mclk or posedge puc_rst)
276
  if (puc_rst)  smclk_en <=  1'b0;
277
  else          smclk_en <=  smclk_en_nxt & cpu_en_s;
278 85 olivier.gi
 
279 111 olivier.gi
always @ (posedge mclk or posedge puc_rst)
280
  if (puc_rst)                                  smclk_div <=  3'h0;
281 2 olivier.gi
  else if ((bcsctl2[`DIVSx]!=2'b00) & smclk_in) smclk_div <=  smclk_div+3'h1;
282
 
283
 
284 106 olivier.gi
// Generate DBG_CLK
285
//----------------------------
286
 
287
assign  dbg_clk = mclk;
288
 
289
 
290 2 olivier.gi
//=============================================================================
291
// 6)  RESET GENERATION
292
//=============================================================================
293
 
294
// Generate synchronized POR
295 111 olivier.gi
wire      por_n;
296 106 olivier.gi
wire      por_reset_a  =  !reset_n;
297 2 olivier.gi
 
298 111 olivier.gi
omsp_sync_cell sync_cell_por (
299
    .data_out (por_n),
300
    .clk      (mclk),
301
    .data_in  (1'b1),
302
    .rst      (por_reset_a)
303
);
304 2 olivier.gi
 
305 111 olivier.gi
wire   por = ~por_n;
306 106 olivier.gi
 
307 111 olivier.gi
 
308 2 olivier.gi
// Generate main system reset
309 111 olivier.gi
wire      puc_rst_comb = por | wdt_reset | dbg_cpu_reset;
310
reg       puc_rst;
311
always @(posedge mclk or posedge puc_rst_comb)
312
  if (puc_rst_comb) puc_rst  <=  1'b1;
313
  else              puc_rst  <=  1'b0;
314 2 olivier.gi
 
315
 
316 106 olivier.gi
// Generate debug unit reset
317 111 olivier.gi
`ifdef DBG_EN
318
wire   dbg_rst_n;
319 106 olivier.gi
 
320 111 olivier.gi
  `ifdef SYNC_DBG_EN
321
     omsp_sync_cell sync_cell_dbg_en (
322
        .data_out (dbg_rst_n),
323
        .clk      (mclk),
324
        .data_in  (dbg_en),
325
        .rst      (por)
326
    );
327
  `else
328
assign dbg_rst_n = dbg_en;
329
  `endif
330
 
331 106 olivier.gi
`else
332 111 olivier.gi
wire   dbg_rst_n  = 1'b0;
333 106 olivier.gi
`endif
334
 
335 111 olivier.gi
wire   dbg_en_s   =  dbg_rst_n;
336
wire   dbg_rst    = ~dbg_rst_n;
337 106 olivier.gi
 
338
 
339 34 olivier.gi
endmodule // omsp_clock_module
340 2 olivier.gi
 
341 103 olivier.gi
`ifdef OMSP_NO_INCLUDE
342
`else
343 33 olivier.gi
`include "openMSP430_undefines.v"
344 103 olivier.gi
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.