OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [core/] [synthesis/] [actel/] [src/] [smartgen/] [pmem.v] - Blame information for rev 64

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 64 olivier.gi
`timescale 1 ns/100 ps
2
// Version: 8.5 8.5.0.34
3
 
4
 
5
module pmem(WD,RD,WEN,REN,WADDR,RADDR,RWCLK,RESET);
6
input [7:0] WD;
7
output [7:0] RD;
8
input  WEN, REN;
9
input [11:0] WADDR, RADDR;
10
input RWCLK, RESET;
11
 
12
    wire VCC, GND;
13
 
14
    VCC VCC_1_net(.Y(VCC));
15
    GND GND_1_net(.Y(GND));
16
    RAM4K9 pmem_R0C3(.ADDRA11(WADDR[11]), .ADDRA10(WADDR[10]),
17
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
18
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
19
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
20
        .ADDRA0(WADDR[0]), .ADDRB11(RADDR[11]), .ADDRB10(
21
        RADDR[10]), .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(
22
        RADDR[7]), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(
23
        RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(
24
        RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND),
25
        .DINA6(GND), .DINA5(GND), .DINA4(GND), .DINA3(GND),
26
        .DINA2(GND), .DINA1(GND), .DINA0(WD[3]), .DINB8(GND),
27
        .DINB7(GND), .DINB6(GND), .DINB5(GND), .DINB4(GND),
28
        .DINB3(GND), .DINB2(GND), .DINB1(GND), .DINB0(GND),
29
        .WIDTHA0(GND), .WIDTHA1(GND), .WIDTHB0(GND), .WIDTHB1(GND)
30
        , .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
31
        .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(
32
        RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(),
33
        .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(),
34
        .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(),
35
        .DOUTB5(), .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(),
36
        .DOUTB0(RD[3]));
37
    RAM4K9 pmem_R0C4(.ADDRA11(WADDR[11]), .ADDRA10(WADDR[10]),
38
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
39
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
40
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
41
        .ADDRA0(WADDR[0]), .ADDRB11(RADDR[11]), .ADDRB10(
42
        RADDR[10]), .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(
43
        RADDR[7]), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(
44
        RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(
45
        RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND),
46
        .DINA6(GND), .DINA5(GND), .DINA4(GND), .DINA3(GND),
47
        .DINA2(GND), .DINA1(GND), .DINA0(WD[4]), .DINB8(GND),
48
        .DINB7(GND), .DINB6(GND), .DINB5(GND), .DINB4(GND),
49
        .DINB3(GND), .DINB2(GND), .DINB1(GND), .DINB0(GND),
50
        .WIDTHA0(GND), .WIDTHA1(GND), .WIDTHB0(GND), .WIDTHB1(GND)
51
        , .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
52
        .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(
53
        RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(),
54
        .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(),
55
        .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(),
56
        .DOUTB5(), .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(),
57
        .DOUTB0(RD[4]));
58
    RAM4K9 pmem_R0C6(.ADDRA11(WADDR[11]), .ADDRA10(WADDR[10]),
59
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
60
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
61
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
62
        .ADDRA0(WADDR[0]), .ADDRB11(RADDR[11]), .ADDRB10(
63
        RADDR[10]), .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(
64
        RADDR[7]), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(
65
        RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(
66
        RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND),
67
        .DINA6(GND), .DINA5(GND), .DINA4(GND), .DINA3(GND),
68
        .DINA2(GND), .DINA1(GND), .DINA0(WD[6]), .DINB8(GND),
69
        .DINB7(GND), .DINB6(GND), .DINB5(GND), .DINB4(GND),
70
        .DINB3(GND), .DINB2(GND), .DINB1(GND), .DINB0(GND),
71
        .WIDTHA0(GND), .WIDTHA1(GND), .WIDTHB0(GND), .WIDTHB1(GND)
72
        , .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
73
        .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(
74
        RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(),
75
        .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(),
76
        .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(),
77
        .DOUTB5(), .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(),
78
        .DOUTB0(RD[6]));
79
    RAM4K9 pmem_R0C5(.ADDRA11(WADDR[11]), .ADDRA10(WADDR[10]),
80
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
81
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
82
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
83
        .ADDRA0(WADDR[0]), .ADDRB11(RADDR[11]), .ADDRB10(
84
        RADDR[10]), .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(
85
        RADDR[7]), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(
86
        RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(
87
        RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND),
88
        .DINA6(GND), .DINA5(GND), .DINA4(GND), .DINA3(GND),
89
        .DINA2(GND), .DINA1(GND), .DINA0(WD[5]), .DINB8(GND),
90
        .DINB7(GND), .DINB6(GND), .DINB5(GND), .DINB4(GND),
91
        .DINB3(GND), .DINB2(GND), .DINB1(GND), .DINB0(GND),
92
        .WIDTHA0(GND), .WIDTHA1(GND), .WIDTHB0(GND), .WIDTHB1(GND)
93
        , .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
94
        .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(
95
        RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(),
96
        .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(),
97
        .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(),
98
        .DOUTB5(), .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(),
99
        .DOUTB0(RD[5]));
100
    RAM4K9 pmem_R0C0(.ADDRA11(WADDR[11]), .ADDRA10(WADDR[10]),
101
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
102
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
103
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
104
        .ADDRA0(WADDR[0]), .ADDRB11(RADDR[11]), .ADDRB10(
105
        RADDR[10]), .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(
106
        RADDR[7]), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(
107
        RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(
108
        RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND),
109
        .DINA6(GND), .DINA5(GND), .DINA4(GND), .DINA3(GND),
110
        .DINA2(GND), .DINA1(GND), .DINA0(WD[0]), .DINB8(GND),
111
        .DINB7(GND), .DINB6(GND), .DINB5(GND), .DINB4(GND),
112
        .DINB3(GND), .DINB2(GND), .DINB1(GND), .DINB0(GND),
113
        .WIDTHA0(GND), .WIDTHA1(GND), .WIDTHB0(GND), .WIDTHB1(GND)
114
        , .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
115
        .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(
116
        RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(),
117
        .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(),
118
        .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(),
119
        .DOUTB5(), .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(),
120
        .DOUTB0(RD[0]));
121
    RAM4K9 pmem_R0C2(.ADDRA11(WADDR[11]), .ADDRA10(WADDR[10]),
122
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
123
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
124
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
125
        .ADDRA0(WADDR[0]), .ADDRB11(RADDR[11]), .ADDRB10(
126
        RADDR[10]), .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(
127
        RADDR[7]), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(
128
        RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(
129
        RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND),
130
        .DINA6(GND), .DINA5(GND), .DINA4(GND), .DINA3(GND),
131
        .DINA2(GND), .DINA1(GND), .DINA0(WD[2]), .DINB8(GND),
132
        .DINB7(GND), .DINB6(GND), .DINB5(GND), .DINB4(GND),
133
        .DINB3(GND), .DINB2(GND), .DINB1(GND), .DINB0(GND),
134
        .WIDTHA0(GND), .WIDTHA1(GND), .WIDTHB0(GND), .WIDTHB1(GND)
135
        , .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
136
        .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(
137
        RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(),
138
        .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(),
139
        .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(),
140
        .DOUTB5(), .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(),
141
        .DOUTB0(RD[2]));
142
    RAM4K9 pmem_R0C7(.ADDRA11(WADDR[11]), .ADDRA10(WADDR[10]),
143
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
144
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
145
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
146
        .ADDRA0(WADDR[0]), .ADDRB11(RADDR[11]), .ADDRB10(
147
        RADDR[10]), .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(
148
        RADDR[7]), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(
149
        RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(
150
        RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND),
151
        .DINA6(GND), .DINA5(GND), .DINA4(GND), .DINA3(GND),
152
        .DINA2(GND), .DINA1(GND), .DINA0(WD[7]), .DINB8(GND),
153
        .DINB7(GND), .DINB6(GND), .DINB5(GND), .DINB4(GND),
154
        .DINB3(GND), .DINB2(GND), .DINB1(GND), .DINB0(GND),
155
        .WIDTHA0(GND), .WIDTHA1(GND), .WIDTHB0(GND), .WIDTHB1(GND)
156
        , .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
157
        .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(
158
        RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(),
159
        .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(),
160
        .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(),
161
        .DOUTB5(), .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(),
162
        .DOUTB0(RD[7]));
163
    RAM4K9 pmem_R0C1(.ADDRA11(WADDR[11]), .ADDRA10(WADDR[10]),
164
        .ADDRA9(WADDR[9]), .ADDRA8(WADDR[8]), .ADDRA7(WADDR[7]),
165
        .ADDRA6(WADDR[6]), .ADDRA5(WADDR[5]), .ADDRA4(WADDR[4]),
166
        .ADDRA3(WADDR[3]), .ADDRA2(WADDR[2]), .ADDRA1(WADDR[1]),
167
        .ADDRA0(WADDR[0]), .ADDRB11(RADDR[11]), .ADDRB10(
168
        RADDR[10]), .ADDRB9(RADDR[9]), .ADDRB8(RADDR[8]), .ADDRB7(
169
        RADDR[7]), .ADDRB6(RADDR[6]), .ADDRB5(RADDR[5]), .ADDRB4(
170
        RADDR[4]), .ADDRB3(RADDR[3]), .ADDRB2(RADDR[2]), .ADDRB1(
171
        RADDR[1]), .ADDRB0(RADDR[0]), .DINA8(GND), .DINA7(GND),
172
        .DINA6(GND), .DINA5(GND), .DINA4(GND), .DINA3(GND),
173
        .DINA2(GND), .DINA1(GND), .DINA0(WD[1]), .DINB8(GND),
174
        .DINB7(GND), .DINB6(GND), .DINB5(GND), .DINB4(GND),
175
        .DINB3(GND), .DINB2(GND), .DINB1(GND), .DINB0(GND),
176
        .WIDTHA0(GND), .WIDTHA1(GND), .WIDTHB0(GND), .WIDTHB1(GND)
177
        , .PIPEA(GND), .PIPEB(GND), .WMODEA(GND), .WMODEB(GND),
178
        .BLKA(WEN), .BLKB(REN), .WENA(GND), .WENB(VCC), .CLKA(
179
        RWCLK), .CLKB(RWCLK), .RESET(RESET), .DOUTA8(), .DOUTA7(),
180
        .DOUTA6(), .DOUTA5(), .DOUTA4(), .DOUTA3(), .DOUTA2(),
181
        .DOUTA1(), .DOUTA0(), .DOUTB8(), .DOUTB7(), .DOUTB6(),
182
        .DOUTB5(), .DOUTB4(), .DOUTB3(), .DOUTB2(), .DOUTB1(),
183
        .DOUTB0(RD[1]));
184
 
185
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.