OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_ADC.sdc] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
#**************************************************************
2
# This .sdc file is created by Terasic Tool.
3
# Users are recommended to modify this file to match users logic.
4
#**************************************************************
5
 
6
#**************************************************************
7
# Create Clock
8
#**************************************************************
9
create_clock -period "50.0 MHz" [get_ports FPGA_CLK1_50]
10
create_clock -period "50.0 MHz" [get_ports FPGA_CLK2_50]
11
create_clock -period "50.0 MHz" [get_ports FPGA_CLK3_50]
12
 
13
# for enhancing USB BlasterII to be reliable, 25MHz
14
create_clock -name {altera_reserved_tck} -period 40 {altera_reserved_tck}
15
set_input_delay -clock altera_reserved_tck -clock_fall 3 [get_ports altera_reserved_tdi]
16
set_input_delay -clock altera_reserved_tck -clock_fall 3 [get_ports altera_reserved_tms]
17
set_output_delay -clock altera_reserved_tck 3 [get_ports altera_reserved_tdo]
18
 
19
#**************************************************************
20
# Create Generated Clock
21
#**************************************************************
22
derive_pll_clocks
23
 
24
 
25
 
26
#**************************************************************
27
# Set Clock Latency
28
#**************************************************************
29
 
30
 
31
 
32
#**************************************************************
33
# Set Clock Uncertainty
34
#**************************************************************
35
derive_clock_uncertainty
36
 
37
 
38
 
39
#**************************************************************
40
# Set Input Delay
41
#**************************************************************
42
 
43
 
44
 
45
#**************************************************************
46
# Set Output Delay
47
#**************************************************************
48
 
49
 
50
 
51
#**************************************************************
52
# Set Clock Groups
53
#**************************************************************
54
 
55
 
56
 
57
#**************************************************************
58
# Set False Path
59
#**************************************************************
60
 
61
 
62
 
63
#**************************************************************
64
# Set Multicycle Path
65
#**************************************************************
66
 
67
 
68
 
69
#**************************************************************
70
# Set Maximum Delay
71
#**************************************************************
72
 
73
 
74
 
75
#**************************************************************
76
# Set Minimum Delay
77
#**************************************************************
78
 
79
 
80
 
81
#**************************************************************
82
# Set Input Transition
83
#**************************************************************
84
 
85
 
86
 
87
#**************************************************************
88
# Set Load
89
#**************************************************************
90
 
91
 
92
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.