OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_QSYS/] [DE0_NANO_SOC_QSYS.xml] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
2
3
 date="2014.12.18.15:53:19"
4
 outputDirectory="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/">
5
 
6
  
7
     name="AUTO_GENERATION_ID"
8
     type="Integer"
9
     defaultValue="0"
10
     onHdl="0"
11
     affectsHdl="1" />
12
  
13
     name="AUTO_UNIQUE_ID"
14
     type="String"
15
     defaultValue=""
16
     onHdl="0"
17
     affectsHdl="1" />
18
  
19
     name="AUTO_DEVICE_FAMILY"
20
     type="String"
21
     defaultValue="Cyclone V"
22
     onHdl="0"
23
     affectsHdl="1" />
24
  
25
     name="AUTO_DEVICE"
26
     type="String"
27
     defaultValue="5CSEMA6F31C6"
28
     onHdl="0"
29
     affectsHdl="1" />
30
  
31
     name="AUTO_CLK_CLOCK_RATE"
32
     type="Long"
33
     defaultValue="-1"
34
     onHdl="0"
35
     affectsHdl="1" />
36
  
37
     name="AUTO_CLK_CLOCK_DOMAIN"
38
     type="Integer"
39
     defaultValue="-1"
40
     onHdl="0"
41
     affectsHdl="1" />
42
  
43
     name="AUTO_CLK_RESET_DOMAIN"
44
     type="Integer"
45
     defaultValue="-1"
46
     onHdl="0"
47
     affectsHdl="1" />
48
  
49
   
50
   
51
   
52
   
53
  
54
  
55
   
56
   
57
   
58
  
59
  
60
   
61
   
62
   
63
   
64
       name="adc_ltc2308_conduit_end_CONVST"
65
       direction="output"
66
       role="CONVST"
67
       width="1" />
68
   
69
       name="adc_ltc2308_conduit_end_SCK"
70
       direction="output"
71
       role="SCK"
72
       width="1" />
73
   
74
       name="adc_ltc2308_conduit_end_SDI"
75
       direction="output"
76
       role="SDI"
77
       width="1" />
78
   
79
       name="adc_ltc2308_conduit_end_SDO"
80
       direction="input"
81
       role="SDO"
82
       width="1" />
83
  
84
  
85
   
86
   
87
   
88
   
89
       name="sw_external_connection_export"
90
       direction="input"
91
       role="export"
92
       width="10" />
93
  
94
  
95
   
96
   
97
   
98
   
99
       name="pll_sys_locked_export"
100
       direction="output"
101
       role="export"
102
       width="1" />
103
  
104
  
105
   
106
   
107
   
108
   
109
   
110
   
111
  
112
 
113
 
114
   path=""
115
   parameterizationKey="DE0_NANO_SOC_QSYS:1.0:AUTO_CLK_CLOCK_DOMAIN=-1,AUTO_CLK_CLOCK_RATE=-1,AUTO_CLK_RESET_DOMAIN=-1,AUTO_DEVICE=5CSEMA4U23C6,AUTO_DEVICE_FAMILY=Cyclone V,AUTO_GENERATION_ID=1418889131,AUTO_UNIQUE_ID=(clock_source:14.0:clockFrequency=50000000,clockFrequencyKnown=true,inputClockFrequency=0,resetSynchronousEdges=NONE)(altera_nios2_qsys:14.0:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=nios2_qsys.jtag_debug_module,clockFrequency=100000000,cpuID=0,cpuID_stored=0,cpuReset=false,customInstSlavesSystemInfo=<info/>,dataAddrWidth=20,dataSlaveMapParam=<address-map><slave name='sw.s1' start='0x0' end='0x10' /><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81000' end='0x81008' /><slave name='sysid_qsys.control_slave' start='0x81008' end='0x81010' /><slave name='adc_ltc2308.slave' start='0x81010' end='0x81018' /></address-map>,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize=32,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_omitDataMaster=false,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_debugReqSignals=false,debug_embeddedPLL=true,debug_jtagInstanceID=0,debug_level=Level1,debug_triggerArming=true,deviceFamilyName=Cyclone V,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Fast,instAddrWidth=20,instSlaveMapParam=<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /></address-map>,internalIrqMaskSystemInfo=3,manuallyAssignCpuID=true,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,muldiv_divider=false,muldiv_multiplierType=EmbeddedMulFast,ocimem_ramBlockType=Automatic,regfile_ramBlockType=Automatic,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateModelChecker=false,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=true,setting_activateTrace_user=false,setting_allowFullAddressRange=false,setting_alwaysEncrypt=true,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_avalonDebugPortPresent=false,setting_bhtIndexPcOnly=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=true,setting_branchPredictionType=Automatic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=false,setting_debugSimGen=false,setting_dtcm_ecc_present=false,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportvectors=false,setting_extraExceptionInfo=false,setting_fullWaveformSignals=false,setting_ic_ecc_present=true,setting_illegalInstructionsTrap=false,setting_illegalMemAccessDetection=false,setting_interruptControllerType=Internal,setting_itcm_ecc_present=false,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_perfCounterWidth=32,setting_performanceCounter=false,setting_preciseDivisionErrorException=false,setting_preciseIllegalMemAccessException=false,setting_preciseSlaveAccessErrorException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,translate_off= "synthesis translate_off" ,translate_on= "synthesis translate_on"  ,userDefinedSettings=)(altera_avalon_onchip_memory2:14.0:allowInSystemMemoryContentEditor=false,autoInitializationFileName=DE0_NANO_SOC_QSYS_onchip_memory2,blockType=AUTO,dataWidth=32,derived_gui_ram_block_type=Automatic,derived_init_file_name=DE0_NANO_SOC_QSYS_onchip_memory2.hex,derived_is_hardcopy=false,derived_set_addr_width=16,derived_set_data_width=32,deviceFamily=Cyclone V,deviceFeatures=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dualPort=false,ecc_enabled=false,initMemContent=true,initializationFileName=onchip_mem.hex,instanceID=NONE,memorySize=160000,readDuringWriteMode=DONT_CARE,resetrequest_enabled=true,simAllowMRAMContentsFile=false,simMemInitOnlyFilename=0,singleClockOperation=false,slave1Latency=1,slave2Latency=1,useNonDefaultInitFile=false,useShallowMemBlocks=false,writable=true)(altera_avalon_sysid_qsys:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,id=0,timestamp=1418889131)(altera_avalon_jtag_uart:14.0:allowMultipleConnections=false,avalonSpec=2.0,enableInteractiveInput=false,enableInteractiveOutput=false,hubInstanceID=0,legacySignalAllow=false,readBufferDepth=64,readIRQThreshold=8,simInputCharacterStream=,simInteractiveOptions=NO_INTERACTIVE_WINDOWS,useRegistersForReadBuffer=false,useRegistersForWriteBuffer=false,useRelativePathForSimFile=false,writeBufferDepth=64,writeIRQThreshold=8)(altera_pll:14.0:AUTO_REFCLK_CLOCK_RATE=50000000,c_cnt_bypass_en0=false,c_cnt_bypass_en1=false,c_cnt_bypass_en10=true,c_cnt_bypass_en11=true,c_cnt_bypass_en12=true,c_cnt_bypass_en13=true,c_cnt_bypass_en14=true,c_cnt_bypass_en15=true,c_cnt_bypass_en16=true,c_cnt_bypass_en17=true,c_cnt_bypass_en2=false,c_cnt_bypass_en3=true,c_cnt_bypass_en4=true,c_cnt_bypass_en5=true,c_cnt_bypass_en6=true,c_cnt_bypass_en7=true,c_cnt_bypass_en8=true,c_cnt_bypass_en9=true,c_cnt_hi_div0=2,c_cnt_hi_div1=5,c_cnt_hi_div10=1,c_cnt_hi_div11=1,c_cnt_hi_div12=1,c_cnt_hi_div13=1,c_cnt_hi_div14=1,c_cnt_hi_div15=1,c_cnt_hi_div16=1,c_cnt_hi_div17=1,c_cnt_hi_div2=1,c_cnt_hi_div3=1,c_cnt_hi_div4=1,c_cnt_hi_div5=1,c_cnt_hi_div6=1,c_cnt_hi_div7=1,c_cnt_hi_div8=1,c_cnt_hi_div9=1,c_cnt_in_src0=ph_mux_clk,c_cnt_in_src1=ph_mux_clk,c_cnt_in_src10=ph_mux_clk,c_cnt_in_src11=ph_mux_clk,c_cnt_in_src12=ph_mux_clk,c_cnt_in_src13=ph_mux_clk,c_cnt_in_src14=ph_mux_clk,c_cnt_in_src15=ph_mux_clk,c_cnt_in_src16=ph_mux_clk,c_cnt_in_src17=ph_mux_clk,c_cnt_in_src2=ph_mux_clk,c_cnt_in_src3=ph_mux_clk,c_cnt_in_src4=ph_mux_clk,c_cnt_in_src5=ph_mux_clk,c_cnt_in_src6=ph_mux_clk,c_cnt_in_src7=ph_mux_clk,c_cnt_in_src8=ph_mux_clk,c_cnt_in_src9=ph_mux_clk,c_cnt_lo_div0=2,c_cnt_lo_div1=5,c_cnt_lo_div10=1,c_cnt_lo_div11=1,c_cnt_lo_div12=1,c_cnt_lo_div13=1,c_cnt_lo_div14=1,c_cnt_lo_div15=1,c_cnt_lo_div16=1,c_cnt_lo_div17=1,c_cnt_lo_div2=1,c_cnt_lo_div3=1,c_cnt_lo_div4=1,c_cnt_lo_div5=1,c_cnt_lo_div6=1,c_cnt_lo_div7=1,c_cnt_lo_div8=1,c_cnt_lo_div9=1,c_cnt_odd_div_duty_en0=false,c_cnt_odd_div_duty_en1=false,c_cnt_odd_div_duty_en10=false,c_cnt_odd_div_duty_en11=false,c_cnt_odd_div_duty_en12=false,c_cnt_odd_div_duty_en13=false,c_cnt_odd_div_duty_en14=false,c_cnt_odd_div_duty_en15=false,c_cnt_odd_div_duty_en16=false,c_cnt_odd_div_duty_en17=false,c_cnt_odd_div_duty_en2=false,c_cnt_odd_div_duty_en3=false,c_cnt_odd_div_duty_en4=false,c_cnt_odd_div_duty_en5=false,c_cnt_odd_div_duty_en6=false,c_cnt_odd_div_duty_en7=false,c_cnt_odd_div_duty_en8=false,c_cnt_odd_div_duty_en9=false,c_cnt_ph_mux_prst0=0,c_cnt_ph_mux_prst1=0,c_cnt_ph_mux_prst10=0,c_cnt_ph_mux_prst11=0,c_cnt_ph_mux_prst12=0,c_cnt_ph_mux_prst13=0,c_cnt_ph_mux_prst14=0,c_cnt_ph_mux_prst15=0,c_cnt_ph_mux_prst16=0,c_cnt_ph_mux_prst17=0,c_cnt_ph_mux_prst2=0,c_cnt_ph_mux_prst3=0,c_cnt_ph_mux_prst4=0,c_cnt_ph_mux_prst5=0,c_cnt_ph_mux_prst6=0,c_cnt_ph_mux_prst7=0,c_cnt_ph_mux_prst8=0,c_cnt_ph_mux_prst9=0,c_cnt_prst0=1,c_cnt_prst1=1,c_cnt_prst10=1,c_cnt_prst11=1,c_cnt_prst12=1,c_cnt_prst13=1,c_cnt_prst14=1,c_cnt_prst15=1,c_cnt_prst16=1,c_cnt_prst17=1,c_cnt_prst2=1,c_cnt_prst3=1,c_cnt_prst4=1,c_cnt_prst5=1,c_cnt_prst6=1,c_cnt_prst7=1,c_cnt_prst8=1,c_cnt_prst9=1,debug_print_output=false,debug_use_rbc_taf_method=false,device=5CSEMA4U23C6,device_family=Cyclone V,duty_cycle0=50,duty_cycle1=50,duty_cycle10=50,duty_cycle11=50,duty_cycle12=50,duty_cycle13=50,duty_cycle14=50,duty_cycle15=50,duty_cycle16=50,duty_cycle17=50,duty_cycle2=50,duty_cycle3=50,duty_cycle4=50,duty_cycle5=50,duty_cycle6=50,duty_cycle7=50,duty_cycle8=50,duty_cycle9=50,fractional_vco_multiplier=false,gui_active_clk=false,gui_actual_divide_factor0=4,gui_actual_divide_factor1=10,gui_actual_divide_factor10=1,gui_actual_divide_factor11=1,gui_actual_divide_factor12=1,gui_actual_divide_factor13=1,gui_actual_divide_factor14=1,gui_actual_divide_factor15=1,gui_actual_divide_factor16=1,gui_actual_divide_factor17=1,gui_actual_divide_factor2=2,gui_actual_divide_factor3=1,gui_actual_divide_factor4=1,gui_actual_divide_factor5=1,gui_actual_divide_factor6=1,gui_actual_divide_factor7=1,gui_actual_divide_factor8=1,gui_actual_divide_factor9=1,gui_actual_frac_multiply_factor0=1,gui_actual_frac_multiply_factor1=1,gui_actual_frac_multiply_factor10=1,gui_actual_frac_multiply_factor11=1,gui_actual_frac_multiply_factor12=1,gui_actual_frac_multiply_factor13=1,gui_actual_frac_multiply_factor14=1,gui_actual_frac_multiply_factor15=1,gui_actual_frac_multiply_factor16=1,gui_actual_frac_multiply_factor17=1,gui_actual_frac_multiply_factor2=1,gui_actual_frac_multiply_factor3=1,gui_actual_frac_multiply_factor4=1,gui_actual_frac_multiply_factor5=1,gui_actual_frac_multiply_factor6=1,gui_actual_frac_multiply_factor7=1,gui_actual_frac_multiply_factor8=1,gui_actual_frac_multiply_factor9=1,gui_actual_multiply_factor0=8,gui_actual_multiply_factor1=8,gui_actual_multiply_factor10=1,gui_actual_multiply_factor11=1,gui_actual_multiply_factor12=1,gui_actual_multiply_factor13=1,gui_actual_multiply_factor14=1,gui_actual_multiply_factor15=1,gui_actual_multiply_factor16=1,gui_actual_multiply_factor17=1,gui_actual_multiply_factor2=8,gui_actual_multiply_factor3=1,gui_actual_multiply_factor4=1,gui_actual_multiply_factor5=1,gui_actual_multiply_factor6=1,gui_actual_multiply_factor7=1,gui_actual_multiply_factor8=1,gui_actual_multiply_factor9=1,gui_actual_output_clock_frequency0=0 MHz,gui_actual_output_clock_frequency1=0 MHz,gui_actual_output_clock_frequency10=0 MHz,gui_actual_output_clock_frequency11=0 MHz,gui_actual_output_clock_frequency12=0 MHz,gui_actual_output_clock_frequency13=0 MHz,gui_actual_output_clock_frequency14=0 MHz,gui_actual_output_clock_frequency15=0 MHz,gui_actual_output_clock_frequency16=0 MHz,gui_actual_output_clock_frequency17=0 MHz,gui_actual_output_clock_frequency2=0 MHz,gui_actual_output_clock_frequency3=0 MHz,gui_actual_output_clock_frequency4=0 MHz,gui_actual_output_clock_frequency5=0 MHz,gui_actual_output_clock_frequency6=0 MHz,gui_actual_output_clock_frequency7=0 MHz,gui_actual_output_clock_frequency8=0 MHz,gui_actual_output_clock_frequency9=0 MHz,gui_actual_phase_shift0=0,gui_actual_phase_shift1=0,gui_actual_phase_shift10=0,gui_actual_phase_shift11=0,gui_actual_phase_shift12=0,gui_actual_phase_shift13=0,gui_actual_phase_shift14=0,gui_actual_phase_shift15=0,gui_actual_phase_shift16=0,gui_actual_phase_shift17=0,gui_actual_phase_shift2=0,gui_actual_phase_shift3=0,gui_actual_phase_shift4=0,gui_actual_phase_shift5=0,gui_actual_phase_shift6=0,gui_actual_phase_shift7=0,gui_actual_phase_shift8=0,gui_actual_phase_shift9=0,gui_cascade_counter0=false,gui_cascade_counter1=false,gui_cascade_counter10=false,gui_cascade_counter11=false,gui_cascade_counter12=false,gui_cascade_counter13=false,gui_cascade_counter14=false,gui_cascade_counter15=false,gui_cascade_counter16=false,gui_cascade_counter17=false,gui_cascade_counter2=false,gui_cascade_counter3=false,gui_cascade_counter4=false,gui_cascade_counter5=false,gui_cascade_counter6=false,gui_cascade_counter7=false,gui_cascade_counter8=false,gui_cascade_counter9=false,gui_cascade_outclk_index=0,gui_channel_spacing=0.0,gui_clk_bad=false,gui_device_speed_grade=2,gui_divide_factor_c0=1,gui_divide_factor_c1=1,gui_divide_factor_c10=1,gui_divide_factor_c11=1,gui_divide_factor_c12=1,gui_divide_factor_c13=1,gui_divide_factor_c14=1,gui_divide_factor_c15=1,gui_divide_factor_c16=1,gui_divide_factor_c17=1,gui_divide_factor_c2=1,gui_divide_factor_c3=1,gui_divide_factor_c4=1,gui_divide_factor_c5=1,gui_divide_factor_c6=1,gui_divide_factor_c7=1,gui_divide_factor_c8=1,gui_divide_factor_c9=1,gui_divide_factor_n=1,gui_dps_cntr=C0,gui_dps_dir=Positive,gui_dps_num=1,gui_dsm_out_sel=1st_order,gui_duty_cycle0=50,gui_duty_cycle1=50,gui_duty_cycle10=50,gui_duty_cycle11=50,gui_duty_cycle12=50,gui_duty_cycle13=50,gui_duty_cycle14=50,gui_duty_cycle15=50,gui_duty_cycle16=50,gui_duty_cycle17=50,gui_duty_cycle2=50,gui_duty_cycle3=50,gui_duty_cycle4=50,gui_duty_cycle5=50,gui_duty_cycle6=50,gui_duty_cycle7=50,gui_duty_cycle8=50,gui_duty_cycle9=50,gui_en_adv_params=false,gui_en_dps_ports=false,gui_en_lvds_ports=false,gui_en_phout_ports=false,gui_en_reconf=false,gui_enable_cascade_in=false,gui_enable_cascade_out=false,gui_enable_mif_dps=false,gui_feedback_clock=Global Clock,gui_frac_multiply_factor=1,gui_fractional_cout=32,gui_mif_generate=false,gui_multiply_factor=1,gui_number_of_clocks=3,gui_operation_mode=normal,gui_output_clock_frequency0=100.0,gui_output_clock_frequency1=40.0,gui_output_clock_frequency10=100.0,gui_output_clock_frequency11=100.0,gui_output_clock_frequency12=100.0,gui_output_clock_frequency13=100.0,gui_output_clock_frequency14=100.0,gui_output_clock_frequency15=100.0,gui_output_clock_frequency16=100.0,gui_output_clock_frequency17=100.0,gui_output_clock_frequency2=200.0,gui_output_clock_frequency3=120.0,gui_output_clock_frequency4=100.0,gui_output_clock_frequency5=100.0,gui_output_clock_frequency6=100.0,gui_output_clock_frequency7=100.0,gui_output_clock_frequency8=100.0,gui_output_clock_frequency9=100.0,gui_parameter_list=M-Counter Hi Divide,M-Counter Low Divide,N-Counter Hi Divide,N-Counter Low Divide,M-Counter Bypass Enable,N-Counter Bypass Enable,M-Counter Odd Divide Enable,N-Counter Odd Divide Enable,C-Counter-0 Hi Divide,C-Counter-0 Low Divide,C-Counter-0 Coarse Phase Shift,C-Counter-0 VCO Phase Tap,C-Counter-0 Input Source,C-Counter-0 Bypass Enable,C-Counter-0 Odd Divide Enable,C-Counter-1 Hi Divide,C-Counter-1 Low Divide,C-Counter-1 Coarse Phase Shift,C-Counter-1 VCO Phase Tap,C-Counter-1 Input Source,C-Counter-1 Bypass Enable,C-Counter-1 Odd Divide Enable,C-Counter-2 Hi Divide,C-Counter-2 Low Divide,C-Counter-2 Coarse Phase Shift,C-Counter-2 VCO Phase Tap,C-Counter-2 Input Source,C-Counter-2 Bypass Enable,C-Counter-2 Odd Divide Enable,VCO Post Divide Counter Enable,Charge Pump current (uA),Loop Filter Bandwidth Resistor (Ohms) ,PLL Output VCO Frequency,K-Fractional Division Value (DSM),Feedback Clock Type,Feedback Clock MUX 1,Feedback Clock MUX 2,M Counter Source MUX,PLL Auto Reset,gui_parameter_values=4,4,256,256,false,true,false,false,2,2,1,0,ph_mux_clk,false,false,5,5,1,0,ph_mux_clk,false,false,1,1,1,0,ph_mux_clk,false,false,2,20,4000,400.0 MHz,1,gclk,glb,fb_1,ph_mux_clk,false,gui_phase_shift0=0,gui_phase_shift1=0,gui_phase_shift10=0,gui_phase_shift11=0,gui_phase_shift12=0,gui_phase_shift13=0,gui_phase_shift14=0,gui_phase_shift15=0,gui_phase_shift16=0,gui_phase_shift17=0,gui_phase_shift2=0,gui_phase_shift3=0,gui_phase_shift4=0,gui_phase_shift5=0,gui_phase_shift6=0,gui_phase_shift7=0,gui_phase_shift8=0,gui_phase_shift9=0,gui_phase_shift_deg0=0.0,gui_phase_shift_deg1=0.0,gui_phase_shift_deg10=0.0,gui_phase_shift_deg11=0.0,gui_phase_shift_deg12=0.0,gui_phase_shift_deg13=0.0,gui_phase_shift_deg14=0.0,gui_phase_shift_deg15=0.0,gui_phase_shift_deg16=0.0,gui_phase_shift_deg17=0.0,gui_phase_shift_deg2=0.0,gui_phase_shift_deg3=0.0,gui_phase_shift_deg4=0.0,gui_phase_shift_deg5=0.0,gui_phase_shift_deg6=0.0,gui_phase_shift_deg7=0.0,gui_phase_shift_deg8=0.0,gui_phase_shift_deg9=0.0,gui_phout_division=1,gui_pll_auto_reset=Off,gui_pll_bandwidth_preset=Auto,gui_pll_cascading_mode=Create an adjpllin signal to connect with an upstream PLL,gui_pll_mode=Integer-N PLL,gui_ps_units0=ps,gui_ps_units1=ps,gui_ps_units10=ps,gui_ps_units11=ps,gui_ps_units12=ps,gui_ps_units13=ps,gui_ps_units14=ps,gui_ps_units15=ps,gui_ps_units16=ps,gui_ps_units17=ps,gui_ps_units2=ps,gui_ps_units3=ps,gui_ps_units4=ps,gui_ps_units5=ps,gui_ps_units6=ps,gui_ps_units7=ps,gui_ps_units8=ps,gui_ps_units9=ps,gui_refclk1_frequency=100.0,gui_refclk_switch=false,gui_reference_clock_frequency=50.0,gui_switchover_delay=0,gui_switchover_mode=Automatic Switchover,gui_use_locked=true,m_cnt_bypass_en=false,m_cnt_hi_div=4,m_cnt_lo_div=4,m_cnt_odd_div_duty_en=false,mimic_fbclk_type=gclk,n_cnt_bypass_en=true,n_cnt_hi_div=256,n_cnt_lo_div=256,n_cnt_odd_div_duty_en=false,number_of_cascade_counters=0,number_of_clocks=3,operation_mode=normal,output_clock_frequency0=100.000000 MHz,output_clock_frequency1=40.000000 MHz,output_clock_frequency10=0 MHz,output_clock_frequency11=0 MHz,output_clock_frequency12=0 MHz,output_clock_frequency13=0 MHz,output_clock_frequency14=0 MHz,output_clock_frequency15=0 MHz,output_clock_frequency16=0 MHz,output_clock_frequency17=0 MHz,output_clock_frequency2=200.000000 MHz,output_clock_frequency3=0 MHz,output_clock_frequency4=0 MHz,output_clock_frequency5=0 MHz,output_clock_frequency6=0 MHz,output_clock_frequency7=0 MHz,output_clock_frequency8=0 MHz,output_clock_frequency9=0 MHz,phase_shift0=0 ps,phase_shift1=0 ps,phase_shift10=0 ps,phase_shift11=0 ps,phase_shift12=0 ps,phase_shift13=0 ps,phase_shift14=0 ps,phase_shift15=0 ps,phase_shift16=0 ps,phase_shift17=0 ps,phase_shift2=0 ps,phase_shift3=0 ps,phase_shift4=0 ps,phase_shift5=0 ps,phase_shift6=0 ps,phase_shift7=0 ps,phase_shift8=0 ps,phase_shift9=0 ps,pll_auto_clk_sw_en=false,pll_bwctrl=4000,pll_clk_loss_sw_en=false,pll_clk_sw_dly=0,pll_clkin_0_src=clk_0,pll_clkin_1_src=clk_0,pll_cp_current=20,pll_dsm_out_sel=1st_order,pll_fbclk_mux_1=glb,pll_fbclk_mux_2=fb_1,pll_fractional_cout=32,pll_fractional_division=1,pll_m_cnt_in_src=ph_mux_clk,pll_manu_clk_sw_en=false,pll_output_clk_frequency=400.0 MHz,pll_slf_rst=false,pll_subtype=General,pll_type=General,pll_vco_div=2,pll_vcoph_div=1,refclk1_frequency=100.0 MHz,reference_clock_frequency=50.0 MHz)(adc_ltc2308:1.1:AUTO_CLOCK_SINK_ADC_CLOCK_RATE=40000000,AUTO_CLOCK_SINK_CLOCK_RATE=100000000)(altera_avalon_pio:14.0:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=true,clockRate=100000000,derived_capture=true,derived_do_test_bench_wiring=false,derived_edge_type=ANY,derived_has_in=true,derived_has_irq=true,derived_has_out=false,derived_has_tri=false,derived_irq_type=EDGE,direction=Input,edgeType=ANY,generateIRQ=true,irqType=EDGE,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=10)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00080800,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00080800,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00040000,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00081008,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00081000,defaultConnection=false)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00040000,defaultConnection=false)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(interrupt:14.0:irqNumber=0)(clock:14.0:)(clock:14.0:)(reset:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x00081010,defaultConnection=false)(clock:14.0:)(reset:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(interrupt:14.0:irqNumber=1)(reset:14.0:)"
116
   instancePathKey="DE0_NANO_SOC_QSYS"
117
   kind="DE0_NANO_SOC_QSYS"
118
   version="1.0"
119
   name="DE0_NANO_SOC_QSYS">
120
  
121
  
122
  
123
  
124
  
125
  
126
  
127
  
128
   
129
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/DE0_NANO_SOC_QSYS.v"
130
       type="VERILOG" />
131
  
132
  
133
   
134
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.ocp"
135
       type="OTHER"
136
       attributes="" />
137
   
138
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.sdc"
139
       type="SDC"
140
       attributes="" />
141
   
142
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.v"
143
       type="VERILOG_ENCRYPT"
144
       attributes="" />
145
   
146
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_bht_ram.mif"
147
       type="MIF"
148
       attributes="" />
149
   
150
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_dc_tag_ram.mif"
151
       type="MIF"
152
       attributes="" />
153
   
154
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ic_tag_ram.mif"
155
       type="MIF"
156
       attributes="" />
157
   
158
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_sysclk.v"
159
       type="VERILOG"
160
       attributes="" />
161
   
162
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_tck.v"
163
       type="VERILOG"
164
       attributes="" />
165
   
166
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_wrapper.v"
167
       type="VERILOG"
168
       attributes="" />
169
   
170
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_mult_cell.v"
171
       type="VERILOG"
172
       attributes="" />
173
   
174
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ociram_default_contents.mif"
175
       type="MIF"
176
       attributes="" />
177
   
178
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_oci_test_bench.v"
179
       type="VERILOG"
180
       attributes="" />
181
   
182
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_a.mif"
183
       type="MIF"
184
       attributes="" />
185
   
186
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_b.mif"
187
       type="MIF"
188
       attributes="" />
189
   
190
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_test_bench.v"
191
       type="VERILOG"
192
       attributes="" />
193
   
194
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_onchip_memory2.hex"
195
       type="HEX"
196
       attributes="" />
197
   
198
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_onchip_memory2.v"
199
       type="VERILOG"
200
       attributes="" />
201
   
202
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_sysid_qsys.v"
203
       type="VERILOG" />
204
   
205
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_jtag_uart.v"
206
       type="VERILOG"
207
       attributes="" />
208
   
209
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_pll_sys.v"
210
       type="VERILOG"
211
       attributes="" />
212
   
213
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_pll_sys.qip"
214
       type="OTHER"
215
       attributes="" />
216
   
217
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_ltc2308_fifo.v"
218
       type="VERILOG"
219
       attributes="TOP_LEVEL_FILE" />
220
   
221
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_ltc2308.v"
222
       type="VERILOG"
223
       attributes="" />
224
   
225
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_data_fifo.v"
226
       type="VERILOG"
227
       attributes="" />
228
   
229
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_sw.v"
230
       type="VERILOG"
231
       attributes="" />
232
   
233
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0.v"
234
       type="VERILOG" />
235
   
236
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_translator.sv"
237
       type="SYSTEM_VERILOG"
238
       attributes="" />
239
   
240
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_translator.sv"
241
       type="SYSTEM_VERILOG"
242
       attributes="" />
243
   
244
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_agent.sv"
245
       type="SYSTEM_VERILOG"
246
       attributes="" />
247
   
248
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_agent.sv"
249
       type="SYSTEM_VERILOG"
250
       attributes="" />
251
   
252
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
253
       type="SYSTEM_VERILOG"
254
       attributes="" />
255
   
256
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
257
       type="VERILOG" />
258
   
259
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router.sv"
260
       type="SYSTEM_VERILOG"
261
       attributes="" />
262
   
263
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001.sv"
264
       type="SYSTEM_VERILOG"
265
       attributes="" />
266
   
267
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002.sv"
268
       type="SYSTEM_VERILOG"
269
       attributes="" />
270
   
271
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004.sv"
272
       type="SYSTEM_VERILOG"
273
       attributes="" />
274
   
275
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_traffic_limiter.sv"
276
       type="SYSTEM_VERILOG"
277
       attributes="" />
278
   
279
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_reorder_memory.sv"
280
       type="SYSTEM_VERILOG"
281
       attributes="" />
282
   
283
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
284
       type="SYSTEM_VERILOG"
285
       attributes="" />
286
   
287
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_st_pipeline_base.v"
288
       type="SYSTEM_VERILOG"
289
       attributes="" />
290
   
291
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux.sv"
292
       type="SYSTEM_VERILOG" />
293
   
294
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001.sv"
295
       type="SYSTEM_VERILOG" />
296
   
297
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
298
       type="SYSTEM_VERILOG" />
299
   
300
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux.sv"
301
       type="SYSTEM_VERILOG" />
302
   
303
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
304
       type="SYSTEM_VERILOG" />
305
   
306
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002.sv"
307
       type="SYSTEM_VERILOG" />
308
   
309
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux.sv"
310
       type="SYSTEM_VERILOG" />
311
   
312
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002.sv"
313
       type="SYSTEM_VERILOG" />
314
   
315
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
316
       type="SYSTEM_VERILOG" />
317
   
318
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux.sv"
319
       type="SYSTEM_VERILOG" />
320
   
321
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
322
       type="SYSTEM_VERILOG" />
323
   
324
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001.sv"
325
       type="SYSTEM_VERILOG" />
326
   
327
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_irq_mapper.sv"
328
       type="SYSTEM_VERILOG" />
329
   
330
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_controller.v"
331
       type="VERILOG"
332
       attributes="" />
333
   
334
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_synchronizer.v"
335
       type="VERILOG"
336
       attributes="" />
337
   
338
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_controller.sdc"
339
       type="SDC"
340
       attributes="" />
341
  
342
  
343
   
344
  
345
  
346
   
347
       path="C:/altera/14.0/ip/altera/nios2_ip/altera_nios2/altera_nios2_qsys_hw.tcl" />
348
   
349
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" />
350
   
351
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl" />
352
   
353
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" />
354
   
355
   
356
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.components.hwtclvalidator.jar" />
357
   
358
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.privateinterfaces.jar" />
359
   
360
   
361
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.jar" />
362
   
363
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.entityinterfaces.jar" />
364
   
365
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.utilities.jar" />
366
   
367
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/hamcrest-all-1.3.jar" />
368
   
369
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/commons-lang3-3.1.jar" />
370
   
371
   
372
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.infrastructure.jar" />
373
   
374
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.version.jar" />
375
   
376
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.jdbcsqlite.jar" />
377
   
378
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/commons-logging-1.1.jar" />
379
   
380
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopclibrary.jar" />
381
   
382
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.atlantic.jar" />
383
   
384
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.components.tclmodule.jar" />
385
   
386
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.hdlcomponent.jar" />
387
   
388
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopc.generator.jar" />
389
   
390
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.hdlwriter.jar" />
391
   
392
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcreport.build.jar" />
393
   
394
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcdocument.jar" />
395
   
396
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcreport.jar" />
397
   
398
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.transforms.jar" />
399
   
400
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.qsys.cmsis.jar" />
401
   
402
   
403
   
404
   
405
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.qsys.ipxact.jar" />
406
   
407
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopc.qsymbol.jar" />
408
   
409
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.minieval2.jar" />
410
   
411
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.tcl.interpreter.jar" />
412
   
413
   
414
   
415
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/ip/ADC_LTC2308_FIFO/adc_ltc2308_hw.tcl" />
416
   
417
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
418
   
419
       path="C:/altera/14.0/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" />
420
   
421
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
422
   
423
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
424
   
425
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
426
   
427
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
428
   
429
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
430
   
431
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
432
   
433
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
434
   
435
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
436
   
437
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
438
   
439
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
440
   
441
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" />
442
   
443
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
444
   
445
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
446
   
447
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
448
   
449
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
450
   
451
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
452
   
453
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
454
   
455
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
456
   
457
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
458
   
459
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
460
   
461
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
462
   
463
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
464
   
465
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
466
   
467
       path="C:/altera/14.0/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" />
468
   
469
       path="C:/altera/14.0/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" />
470
  
471
  
472
   queue size: 0 starting:DE0_NANO_SOC_QSYS "DE0_NANO_SOC_QSYS"
473
   
474
   
475
   
476
   Transform: CustomInstructionTransform
477
   No custom instruction connections, skipping transform 
478
   8 modules, 26 connections]]>
479
   Transform: MMTransform
480
   Transform: InitialInterconnectTransform
481
   8 modules, 21 connections]]>
482
   Transform: TerminalIdAssignmentUpdateTransform
483
   Transform: DefaultSlaveTransform
484
   Transform: TranslatorTransform
485
   
486
   
487
   
488
   
489
   
490
   
491
   
492
   
493
   
494
   
495
   
496
   
497
   
498
   
499
   
500
   
501
   
502
   
503
   
504
   
505
   
506
   
507
   
508
   
509
   16 modules, 48 connections]]>
510
   Transform: IDPadTransform
511
   Transform: DomainTransform
512
   Transform merlin_domain_transform not run on matched interfaces nios2_qsys.instruction_master and nios2_qsys_instruction_master_translator.avalon_anti_master_0
513
   Transform merlin_domain_transform not run on matched interfaces nios2_qsys.data_master and nios2_qsys_data_master_translator.avalon_anti_master_0
514
   
515
   
516
   
517
   
518
   
519
   
520
   
521
   
522
   
523
   
524
   
525
   
526
   
527
   
528
   
529
   
530
   
531
   
532
   
533
   
534
   
535
   
536
   
537
   
538
   
539
   
540
   
541
   
542
   
543
   
544
   
545
   
546
   
547
   
548
   
549
   
550
   
551
   
552
   
553
   
554
   
555
   
556
   
557
   
558
   
559
   
560
   
561
   
562
   
563
   
564
   
565
   
566
   
567
   
568
   
569
   
570
   
571
   
572
   
573
   
574
   Transform merlin_domain_transform not run on matched interfaces nios2_qsys_jtag_debug_module_translator.avalon_anti_slave_0 and nios2_qsys.jtag_debug_module
575
   Transform merlin_domain_transform not run on matched interfaces onchip_memory2_s1_translator.avalon_anti_slave_0 and onchip_memory2.s1
576
   Transform merlin_domain_transform not run on matched interfaces sysid_qsys_control_slave_translator.avalon_anti_slave_0 and sysid_qsys.control_slave
577
   Transform merlin_domain_transform not run on matched interfaces jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0 and jtag_uart.avalon_jtag_slave
578
   Transform merlin_domain_transform not run on matched interfaces adc_ltc2308_slave_translator.avalon_anti_slave_0 and adc_ltc2308.slave
579
   Transform merlin_domain_transform not run on matched interfaces sw_s1_translator.avalon_anti_slave_0 and sw.s1
580
   31 modules, 133 connections]]>
581
   Transform: RouterTransform
582
   
583
   
584
   
585
   
586
   
587
   
588
   
589
   
590
   
591
   
592
   
593
   
594
   
595
   
596
   
597
   
598
   
599
   
600
   
601
   
602
   
603
   
604
   
605
   
606
   39 modules, 160 connections]]>
607
   Transform: TrafficLimiterTransform
608
   
609
   
610
   
611
   
612
   
613
   
614
   41 modules, 170 connections]]>
615
   Transform: BurstTransform
616
   Transform: TreeTransform
617
   Transform: NetworkToSwitchTransform
618
   
619
   
620
   
621
   
622
   
623
   
624
   
625
   
626
   
627
   
628
   
629
   
630
   
631
   
632
   
633
   
634
   
635
   
636
   
637
   
638
   
639
   
640
   
641
   
642
   
643
   
644
   
645
   
646
   
647
   
648
   
649
   
650
   
651
   
652
   
653
   
654
   
655
   
656
   
657
   
658
   
659
   
660
   
661
   
662
   
663
   
664
   
665
   
666
   56 modules, 205 connections]]>
667
   Transform: WidthTransform
668
   Transform: RouterTableTransform
669
   Transform: ThreadIDMappingTableTransform
670
   Transform: ClockCrossingTransform
671
   Transform: PipelineTransform
672
   Transform: SpotPipelineTransform
673
   Transform: PerformanceMonitorTransform
674
   Transform: TrafficLimiterUpdateTransform
675
   56 modules, 207 connections]]>
676
   Transform: InsertClockAndResetBridgesTransform
677
   
678
   
679
   
680
   
681
   
682
   
683
   
684
   
685
   
686
   59 modules, 264 connections]]>
687
   Transform: InterconnectConnectionsTagger
688
   Transform: HierarchyTransform
689
   
690
   
691
   
692
   9 modules, 30 connections]]>
693
   9 modules, 30 connections]]>
694
   Transform: InterruptMapperTransform
695
   
696
   
697
   
698
   10 modules, 34 connections]]>
699
   Transform: InterruptSyncTransform
700
   Transform: InterruptFanoutTransform
701
   Transform: AvalonStreamingTransform
702
   Transform: ResetAdaptation
703
   
704
   
705
   
706
   
707
   
708
   
709
   
710
   
711
   
712
   
713
   
714
   
715
   
716
   
717
   
718
   15 modules, 47 connections]]>
719
   DE0_NANO_SOC_QSYS" reuses altera_nios2_qsys "submodules/DE0_NANO_SOC_QSYS_nios2_qsys"]]>
720
   DE0_NANO_SOC_QSYS" reuses altera_avalon_onchip_memory2 "submodules/DE0_NANO_SOC_QSYS_onchip_memory2"]]>
721
   DE0_NANO_SOC_QSYS" reuses altera_avalon_sysid_qsys "submodules/DE0_NANO_SOC_QSYS_sysid_qsys"]]>
722
   DE0_NANO_SOC_QSYS" reuses altera_avalon_jtag_uart "submodules/DE0_NANO_SOC_QSYS_jtag_uart"]]>
723
   DE0_NANO_SOC_QSYS" reuses altera_pll "submodules/DE0_NANO_SOC_QSYS_pll_sys"]]>
724
   DE0_NANO_SOC_QSYS" reuses adc_ltc2308 "submodules/adc_ltc2308_fifo"]]>
725
   DE0_NANO_SOC_QSYS" reuses altera_avalon_pio "submodules/DE0_NANO_SOC_QSYS_sw"]]>
726
   DE0_NANO_SOC_QSYS" reuses altera_mm_interconnect "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0"]]>
727
   DE0_NANO_SOC_QSYS" reuses altera_irq_mapper "submodules/DE0_NANO_SOC_QSYS_irq_mapper"]]>
728
   DE0_NANO_SOC_QSYS" reuses altera_reset_controller "submodules/altera_reset_controller"]]>
729
   DE0_NANO_SOC_QSYS" reuses altera_reset_controller "submodules/altera_reset_controller"]]>
730
   DE0_NANO_SOC_QSYS" reuses altera_reset_controller "submodules/altera_reset_controller"]]>
731
   queue size: 11 starting:altera_nios2_qsys "submodules/DE0_NANO_SOC_QSYS_nios2_qsys"
732
   Starting RTL generation for module 'DE0_NANO_SOC_QSYS_nios2_qsys'
733
     Generation command is [exec C:/altera/14.0/quartus/bin64/eperlcmd.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/cpu_lib -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/nios_lib -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2 -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2 -- C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/generate_rtl.epl --name=DE0_NANO_SOC_QSYS_nios2_qsys --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0001_nios2_qsys_gen/ --quartus_bindir=C:/altera/14.0/quartus/bin64 --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0001_nios2_qsys_gen//DE0_NANO_SOC_QSYS_nios2_qsys_processor_configuration.pl  --do_build_sim=0  ]
734
   # 2014.12.18 15:52:25 (*) Starting Nios II generation
735
   # 2014.12.18 15:52:25 (*)   Checking for plaintext license.
736
   # 2014.12.18 15:52:37 (*)   Plaintext license not found.
737
   # 2014.12.18 15:52:37 (*)   Checking for encrypted license (non-evaluation).
738
   # 2014.12.18 15:52:57 (*)   Encrypted license found.  SOF will not be time-limited.
739
   # 2014.12.18 15:52:57 (*)   Elaborating CPU configuration settings
740
   # 2014.12.18 15:52:57 (*)   Creating all objects for CPU
741
   # 2014.12.18 15:52:57 (*)     Testbench
742
   # 2014.12.18 15:52:58 (*)     Instruction decoding
743
   # 2014.12.18 15:52:58 (*)       Instruction fields
744
   # 2014.12.18 15:52:58 (*)       Instruction decodes
745
   # 2014.12.18 15:52:59 (*)       Signals for RTL simulation waveforms
746
   # 2014.12.18 15:52:59 (*)       Instruction controls
747
   # 2014.12.18 15:52:59 (*)     Pipeline frontend
748
   # 2014.12.18 15:52:59 (*)     Pipeline backend
749
   # 2014.12.18 15:53:03 (*)   Generating RTL from CPU objects
750
   # 2014.12.18 15:53:07 (*)   Creating encrypted RTL
751
   # 2014.12.18 15:53:09 (*) Done Nios II generation
752
   Done RTL generation for module 'DE0_NANO_SOC_QSYS_nios2_qsys'
753
   DE0_NANO_SOC_QSYS" instantiated altera_nios2_qsys "nios2_qsys"]]>
754
   queue size: 10 starting:altera_avalon_onchip_memory2 "submodules/DE0_NANO_SOC_QSYS_onchip_memory2"
755
   Starting RTL generation for module 'DE0_NANO_SOC_QSYS_onchip_memory2'
756
     Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_onchip_memory2 --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0002_onchip_memory2_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0002_onchip_memory2_gen//DE0_NANO_SOC_QSYS_onchip_memory2_component_configuration.pl  --do_build_sim=0  ]
757
   Done RTL generation for module 'DE0_NANO_SOC_QSYS_onchip_memory2'
758
   DE0_NANO_SOC_QSYS" instantiated altera_avalon_onchip_memory2 "onchip_memory2"]]>
759
   queue size: 9 starting:altera_avalon_sysid_qsys "submodules/DE0_NANO_SOC_QSYS_sysid_qsys"
760
   DE0_NANO_SOC_QSYS" instantiated altera_avalon_sysid_qsys "sysid_qsys"]]>
761
   queue size: 8 starting:altera_avalon_jtag_uart "submodules/DE0_NANO_SOC_QSYS_jtag_uart"
762
   Starting RTL generation for module 'DE0_NANO_SOC_QSYS_jtag_uart'
763
     Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_jtag_uart --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0004_jtag_uart_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0004_jtag_uart_gen//DE0_NANO_SOC_QSYS_jtag_uart_component_configuration.pl  --do_build_sim=0  ]
764
   Done RTL generation for module 'DE0_NANO_SOC_QSYS_jtag_uart'
765
   DE0_NANO_SOC_QSYS" instantiated altera_avalon_jtag_uart "jtag_uart"]]>
766
   queue size: 7 starting:altera_pll "submodules/DE0_NANO_SOC_QSYS_pll_sys"
767
   DE0_NANO_SOC_QSYS" instantiated altera_pll "pll_sys"]]>
768
   queue size: 6 starting:adc_ltc2308 "submodules/adc_ltc2308_fifo"
769
   DE0_NANO_SOC_QSYS" instantiated adc_ltc2308 "adc_ltc2308"]]>
770
   queue size: 5 starting:altera_avalon_pio "submodules/DE0_NANO_SOC_QSYS_sw"
771
   Starting RTL generation for module 'DE0_NANO_SOC_QSYS_sw'
772
     Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_sw --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0007_sw_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0007_sw_gen//DE0_NANO_SOC_QSYS_sw_component_configuration.pl  --do_build_sim=0  ]
773
   Done RTL generation for module 'DE0_NANO_SOC_QSYS_sw'
774
   DE0_NANO_SOC_QSYS" instantiated altera_avalon_pio "sw"]]>
775
   queue size: 4 starting:altera_mm_interconnect "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0"
776
   
777
   
778
   
779
   Transform: CustomInstructionTransform
780
   No custom instruction connections, skipping transform 
781
   51 modules, 170 connections]]>
782
   Transform: MMTransform
783
   Transform: InitialInterconnectTransform
784
   0 modules, 0 connections]]>
785
   Transform: TerminalIdAssignmentUpdateTransform
786
   Transform: DefaultSlaveTransform
787
   Transform: TranslatorTransform
788
   No Avalon connections, skipping transform 
789
   Transform: IDPadTransform
790
   Transform: DomainTransform
791
   Transform: RouterTransform
792
   Transform: TrafficLimiterTransform
793
   Transform: BurstTransform
794
   Transform: TreeTransform
795
   Transform: NetworkToSwitchTransform
796
   Transform: WidthTransform
797
   Transform: RouterTableTransform
798
   Transform: ThreadIDMappingTableTransform
799
   Transform: ClockCrossingTransform
800
   Transform: PipelineTransform
801
   Transform: SpotPipelineTransform
802
   Transform: PerformanceMonitorTransform
803
   Transform: TrafficLimiterUpdateTransform
804
   Transform: InsertClockAndResetBridgesTransform
805
   Transform: InterconnectConnectionsTagger
806
   Transform: HierarchyTransform
807
   51 modules, 170 connections]]>
808
   Transform: InitialInterconnectTransform
809
   0 modules, 0 connections]]>
810
   Transform: TerminalIdAssignmentUpdateTransform
811
   Transform: DefaultSlaveTransform
812
   Transform: TranslatorTransform
813
   No Avalon connections, skipping transform 
814
   Transform: IDPadTransform
815
   Transform: DomainTransform
816
   Transform: RouterTransform
817
   Transform: TrafficLimiterTransform
818
   Transform: BurstTransform
819
   Transform: TreeTransform
820
   Transform: NetworkToSwitchTransform
821
   Transform: WidthTransform
822
   Transform: RouterTableTransform
823
   Transform: ThreadIDMappingTableTransform
824
   Transform: ClockCrossingTransform
825
   Transform: PipelineTransform
826
   Transform: SpotPipelineTransform
827
   Transform: PerformanceMonitorTransform
828
   Transform: TrafficLimiterUpdateTransform
829
   Transform: InsertClockAndResetBridgesTransform
830
   Transform: InterconnectConnectionsTagger
831
   Transform: HierarchyTransform
832
   51 modules, 170 connections]]>
833
   Transform: InitialInterconnectTransform
834
   0 modules, 0 connections]]>
835
   Transform: TerminalIdAssignmentUpdateTransform
836
   Transform: DefaultSlaveTransform
837
   Transform: TranslatorTransform
838
   No Avalon connections, skipping transform 
839
   Transform: IDPadTransform
840
   Transform: DomainTransform
841
   Transform: RouterTransform
842
   Transform: TrafficLimiterTransform
843
   Transform: BurstTransform
844
   Transform: TreeTransform
845
   Transform: NetworkToSwitchTransform
846
   Transform: WidthTransform
847
   Transform: RouterTableTransform
848
   Transform: ThreadIDMappingTableTransform
849
   Transform: ClockCrossingTransform
850
   Transform: PipelineTransform
851
   Transform: SpotPipelineTransform
852
   Transform: PerformanceMonitorTransform
853
   Transform: TrafficLimiterUpdateTransform
854
   Transform: InsertClockAndResetBridgesTransform
855
   Transform: InterconnectConnectionsTagger
856
   Transform: HierarchyTransform
857
   51 modules, 170 connections]]>
858
   Transform: InitialInterconnectTransform
859
   0 modules, 0 connections]]>
860
   Transform: TerminalIdAssignmentUpdateTransform
861
   Transform: DefaultSlaveTransform
862
   Transform: TranslatorTransform
863
   No Avalon connections, skipping transform 
864
   Transform: IDPadTransform
865
   Transform: DomainTransform
866
   Transform: RouterTransform
867
   Transform: TrafficLimiterTransform
868
   Transform: BurstTransform
869
   Transform: TreeTransform
870
   Transform: NetworkToSwitchTransform
871
   Transform: WidthTransform
872
   Transform: RouterTableTransform
873
   Transform: ThreadIDMappingTableTransform
874
   Transform: ClockCrossingTransform
875
   Transform: PipelineTransform
876
   Transform: SpotPipelineTransform
877
   Transform: PerformanceMonitorTransform
878
   Transform: TrafficLimiterUpdateTransform
879
   Transform: InsertClockAndResetBridgesTransform
880
   Transform: InterconnectConnectionsTagger
881
   Transform: HierarchyTransform
882
   51 modules, 170 connections]]>
883
   Transform: InitialInterconnectTransform
884
   0 modules, 0 connections]]>
885
   Transform: TerminalIdAssignmentUpdateTransform
886
   Transform: DefaultSlaveTransform
887
   Transform: TranslatorTransform
888
   No Avalon connections, skipping transform 
889
   Transform: IDPadTransform
890
   Transform: DomainTransform
891
   Transform: RouterTransform
892
   Transform: TrafficLimiterTransform
893
   Transform: BurstTransform
894
   Transform: TreeTransform
895
   Transform: NetworkToSwitchTransform
896
   Transform: WidthTransform
897
   Transform: RouterTableTransform
898
   Transform: ThreadIDMappingTableTransform
899
   Transform: ClockCrossingTransform
900
   Transform: PipelineTransform
901
   Transform: SpotPipelineTransform
902
   Transform: PerformanceMonitorTransform
903
   Transform: TrafficLimiterUpdateTransform
904
   Transform: InsertClockAndResetBridgesTransform
905
   Transform: InterconnectConnectionsTagger
906
   Transform: HierarchyTransform
907
   51 modules, 170 connections]]>
908
   Transform: InitialInterconnectTransform
909
   0 modules, 0 connections]]>
910
   Transform: TerminalIdAssignmentUpdateTransform
911
   Transform: DefaultSlaveTransform
912
   Transform: TranslatorTransform
913
   No Avalon connections, skipping transform 
914
   Transform: IDPadTransform
915
   Transform: DomainTransform
916
   Transform: RouterTransform
917
   Transform: TrafficLimiterTransform
918
   Transform: BurstTransform
919
   Transform: TreeTransform
920
   Transform: NetworkToSwitchTransform
921
   Transform: WidthTransform
922
   Transform: RouterTableTransform
923
   Transform: ThreadIDMappingTableTransform
924
   Transform: ClockCrossingTransform
925
   Transform: PipelineTransform
926
   Transform: SpotPipelineTransform
927
   Transform: PerformanceMonitorTransform
928
   Transform: TrafficLimiterUpdateTransform
929
   Transform: InsertClockAndResetBridgesTransform
930
   Transform: InterconnectConnectionsTagger
931
   Transform: HierarchyTransform
932
   51 modules, 170 connections]]>
933
   Transform: InitialInterconnectTransform
934
   0 modules, 0 connections]]>
935
   Transform: TerminalIdAssignmentUpdateTransform
936
   Transform: DefaultSlaveTransform
937
   Transform: TranslatorTransform
938
   No Avalon connections, skipping transform 
939
   Transform: IDPadTransform
940
   Transform: DomainTransform
941
   Transform: RouterTransform
942
   Transform: TrafficLimiterTransform
943
   Transform: BurstTransform
944
   Transform: TreeTransform
945
   Transform: NetworkToSwitchTransform
946
   Transform: WidthTransform
947
   Transform: RouterTableTransform
948
   Transform: ThreadIDMappingTableTransform
949
   Transform: ClockCrossingTransform
950
   Transform: PipelineTransform
951
   Transform: SpotPipelineTransform
952
   Transform: PerformanceMonitorTransform
953
   Transform: TrafficLimiterUpdateTransform
954
   Transform: InsertClockAndResetBridgesTransform
955
   Transform: InterconnectConnectionsTagger
956
   Transform: HierarchyTransform
957
   51 modules, 170 connections]]>
958
   Transform: InitialInterconnectTransform
959
   0 modules, 0 connections]]>
960
   Transform: TerminalIdAssignmentUpdateTransform
961
   Transform: DefaultSlaveTransform
962
   Transform: TranslatorTransform
963
   No Avalon connections, skipping transform 
964
   Transform: IDPadTransform
965
   Transform: DomainTransform
966
   Transform: RouterTransform
967
   Transform: TrafficLimiterTransform
968
   Transform: BurstTransform
969
   Transform: TreeTransform
970
   Transform: NetworkToSwitchTransform
971
   Transform: WidthTransform
972
   Transform: RouterTableTransform
973
   Transform: ThreadIDMappingTableTransform
974
   Transform: ClockCrossingTransform
975
   Transform: PipelineTransform
976
   Transform: SpotPipelineTransform
977
   Transform: PerformanceMonitorTransform
978
   Transform: TrafficLimiterUpdateTransform
979
   Transform: InsertClockAndResetBridgesTransform
980
   Transform: InterconnectConnectionsTagger
981
   Transform: HierarchyTransform
982
   51 modules, 170 connections]]>
983
   Transform: InterruptMapperTransform
984
   Transform: InterruptSyncTransform
985
   Transform: InterruptFanoutTransform
986
   Transform: AvalonStreamingTransform
987
   Transform: ResetAdaptation
988
   mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]>
989
   mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]>
990
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
991
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
992
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
993
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
994
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
995
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
996
   mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]>
997
   mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]>
998
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
999
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
1000
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
1001
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
1002
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
1003
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
1004
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
1005
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
1006
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
1007
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
1008
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
1009
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
1010
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router"]]>
1011
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001"]]>
1012
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"]]>
1013
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"]]>
1014
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"]]>
1015
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"]]>
1016
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"]]>
1017
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"]]>
1018
   mm_interconnect_0" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]>
1019
   mm_interconnect_0" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]>
1020
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux"]]>
1021
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001"]]>
1022
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"]]>
1023
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"]]>
1024
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"]]>
1025
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"]]>
1026
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"]]>
1027
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"]]>
1028
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"]]>
1029
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"]]>
1030
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"]]>
1031
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"]]>
1032
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"]]>
1033
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"]]>
1034
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux"]]>
1035
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001"]]>
1036
   DE0_NANO_SOC_QSYS" instantiated altera_mm_interconnect "mm_interconnect_0"]]>
1037
   queue size: 47 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"
1038
   mm_interconnect_0" instantiated altera_merlin_master_translator "nios2_qsys_instruction_master_translator"]]>
1039
   queue size: 45 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"
1040
   mm_interconnect_0" instantiated altera_merlin_slave_translator "nios2_qsys_jtag_debug_module_translator"]]>
1041
   queue size: 39 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"
1042
   mm_interconnect_0" instantiated altera_merlin_master_agent "nios2_qsys_instruction_master_agent"]]>
1043
   queue size: 37 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"
1044
   mm_interconnect_0" instantiated altera_merlin_slave_agent "nios2_qsys_jtag_debug_module_agent"]]>
1045
   queue size: 36 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"
1046
   mm_interconnect_0" instantiated altera_avalon_sc_fifo "nios2_qsys_jtag_debug_module_agent_rsp_fifo"]]>
1047
   queue size: 25 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router"
1048
   mm_interconnect_0" instantiated altera_merlin_router "router"]]>
1049
   queue size: 24 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001"
1050
   mm_interconnect_0" instantiated altera_merlin_router "router_001"]]>
1051
   queue size: 23 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"
1052
   mm_interconnect_0" instantiated altera_merlin_router "router_002"]]>
1053
   queue size: 21 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"
1054
   mm_interconnect_0" instantiated altera_merlin_router "router_004"]]>
1055
   queue size: 17 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"
1056
   mm_interconnect_0" instantiated altera_merlin_traffic_limiter "nios2_qsys_instruction_master_limiter"]]>
1057
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v]]>
1058
   queue size: 15 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux"
1059
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]>
1060
   queue size: 14 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001"
1061
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]>
1062
   queue size: 13 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"
1063
   mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]>
1064
   queue size: 11 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"
1065
   mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]>
1066
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
1067
   queue size: 7 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"
1068
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]>
1069
   queue size: 5 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"
1070
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_002"]]>
1071
   queue size: 1 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux"
1072
   mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]>
1073
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
1074
   queue size: 0 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001"
1075
   mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]>
1076
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
1077
   queue size: 51 starting:altera_irq_mapper "submodules/DE0_NANO_SOC_QSYS_irq_mapper"
1078
   DE0_NANO_SOC_QSYS" instantiated altera_irq_mapper "irq_mapper"]]>
1079
   queue size: 50 starting:altera_reset_controller "submodules/altera_reset_controller"
1080
   DE0_NANO_SOC_QSYS" instantiated altera_reset_controller "rst_controller"]]>
1081
  
1082
 
1083
 
1084
   path="submodules/"
1085
   parameterizationKey="altera_nios2_qsys:14.0:bht_ramBlockType=Automatic,breakAbsoluteAddr=526368,breakOffset=32,breakSlave=nios2_qsys.jtag_debug_module,clockFrequency=100000000,cpuID=0,cpuID_stored=0,cpuReset=false,customInstSlavesSystemInfo=<info/>,dataAddrWidth=20,dataSlaveMapParam=<address-map><slave name='sw.s1' start='0x0' end='0x10' /><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81000' end='0x81008' /><slave name='sysid_qsys.control_slave' start='0x81008' end='0x81010' /><slave name='adc_ltc2308.slave' start='0x81010' end='0x81018' /></address-map>,dcache_bursts=false,dcache_bursts_derived=false,dcache_lineSize=32,dcache_lineSize_derived=32,dcache_numTCDM=0,dcache_omitDataMaster=false,dcache_ramBlockType=Automatic,dcache_size=2048,dcache_size_derived=2048,dcache_tagramBlockType=Automatic,dcache_victim_buf_impl=ram,debug_OCIOnchipTrace=_128,debug_assignJtagInstanceID=false,debug_debugReqSignals=false,debug_embeddedPLL=true,debug_jtagInstanceID=0,debug_level=Level1,debug_triggerArming=true,deviceFamilyName=Cyclone V,deviceFeaturesSystemInfo=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,exceptionAbsoluteAddr=262176,exceptionOffset=32,exceptionSlave=onchip_memory2.s1,icache_burstType=None,icache_numTCIM=0,icache_ramBlockType=Automatic,icache_size=4096,icache_tagramBlockType=Automatic,impl=Fast,instAddrWidth=20,instSlaveMapParam=<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /></address-map>,internalIrqMaskSystemInfo=3,manuallyAssignCpuID=true,mmu_TLBMissExcAbsAddr=0,mmu_TLBMissExcOffset=0,mmu_TLBMissExcSlave=None,mmu_autoAssignTlbPtrSz=true,mmu_enabled=false,mmu_processIDNumBits=8,mmu_ramBlockType=Automatic,mmu_tlbNumWays=16,mmu_tlbPtrSz=7,mmu_udtlbNumEntries=6,mmu_uitlbNumEntries=4,mpu_enabled=false,mpu_minDataRegionSize=12,mpu_minInstRegionSize=12,mpu_numOfDataRegion=8,mpu_numOfInstRegion=8,mpu_useLimit=false,muldiv_divider=false,muldiv_multiplierType=EmbeddedMulFast,ocimem_ramBlockType=Automatic,regfile_ramBlockType=Automatic,resetAbsoluteAddr=262144,resetOffset=0,resetSlave=onchip_memory2.s1,resetrequest_enabled=true,setting_HBreakTest=false,setting_HDLSimCachesCleared=true,setting_activateModelChecker=false,setting_activateMonitors=true,setting_activateTestEndChecker=false,setting_activateTrace=true,setting_activateTrace_user=false,setting_allowFullAddressRange=false,setting_alwaysEncrypt=true,setting_asic_enabled=false,setting_asic_synopsys_translate_on_off=false,setting_avalonDebugPortPresent=false,setting_bhtIndexPcOnly=false,setting_bhtPtrSz=8,setting_bigEndian=false,setting_bit31BypassDCache=true,setting_branchPredictionType=Automatic,setting_breakslaveoveride=false,setting_clearXBitsLDNonBypass=true,setting_dc_ecc_present=false,setting_debugSimGen=false,setting_dtcm_ecc_present=false,setting_ecc_present=false,setting_ecc_sim_test_ports=false,setting_exportPCB=false,setting_export_large_RAMs=false,setting_exportvectors=false,setting_extraExceptionInfo=false,setting_fullWaveformSignals=false,setting_ic_ecc_present=true,setting_illegalInstructionsTrap=false,setting_illegalMemAccessDetection=false,setting_interruptControllerType=Internal,setting_itcm_ecc_present=false,setting_mmu_ecc_present=true,setting_oci_export_jtag_signals=false,setting_perfCounterWidth=32,setting_performanceCounter=false,setting_preciseDivisionErrorException=false,setting_preciseIllegalMemAccessException=false,setting_preciseSlaveAccessErrorException=false,setting_removeRAMinit=false,setting_rf_ecc_present=true,setting_shadowRegisterSets=0,setting_showInternalSettings=false,setting_showUnpublishedSettings=false,tightlyCoupledDataMaster0AddrWidth=1,tightlyCoupledDataMaster0MapParam=,tightlyCoupledDataMaster1AddrWidth=1,tightlyCoupledDataMaster1MapParam=,tightlyCoupledDataMaster2AddrWidth=1,tightlyCoupledDataMaster2MapParam=,tightlyCoupledDataMaster3AddrWidth=1,tightlyCoupledDataMaster3MapParam=,tightlyCoupledInstructionMaster0AddrWidth=1,tightlyCoupledInstructionMaster0MapParam=,tightlyCoupledInstructionMaster1AddrWidth=1,tightlyCoupledInstructionMaster1MapParam=,tightlyCoupledInstructionMaster2AddrWidth=1,tightlyCoupledInstructionMaster2MapParam=,tightlyCoupledInstructionMaster3AddrWidth=1,tightlyCoupledInstructionMaster3MapParam=,translate_off= "synthesis translate_off" ,translate_on= "synthesis translate_on"  ,userDefinedSettings="
1086
   instancePathKey="DE0_NANO_SOC_QSYS:.:nios2_qsys"
1087
   kind="altera_nios2_qsys"
1088
   version="14.0"
1089
   name="DE0_NANO_SOC_QSYS_nios2_qsys">
1090
  
1091
  
1092
  
1093
  
1094
  
1095
  
1096
  
1097
  
1098
  
1099
  
1100
  
1101
  
1102
  
1103
  
1104
  
1105
  
1106
  
1107
  
1108
  
1109
  
1110
  
1111
  
1112
  
1113
  
1114
  
1115
  
1116
  
1117
  
1118
  
1119
  
1120
  
1121
  
1122
  
1123
  
1124
     name="deviceFeaturesSystemInfo"
1125
     value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
1126
  
1127
  
1128
  
1129
  
1130
  
1131
  
1132
  
1133
  
1134
  
1135
  
1136
  
1137
  
1138
  
1139
  
1140
  
1141
  
1142
  
1143
  
1144
  
1145
  
1146
  
1147
  
1148
  
1149
  
1150
  
1151
  
1152
  
1153
  
1154
  
1155
  
1156
  
1157
  
1158
  
1159
  
1160
  
1161
  
1162
  
1163
  
1164
  
1165
  
1166
  
1167
  
1168
  
1169
  
1170
  
1171
  
1172
  
1173
  
1174
  
1175
     name="dataSlaveMapParam"
1176
     value="<address-map><slave name='sw.s1' start='0x0' end='0x10' /><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /><slave name='jtag_uart.avalon_jtag_slave' start='0x81000' end='0x81008' /><slave name='sysid_qsys.control_slave' start='0x81008' end='0x81010' /><slave name='adc_ltc2308.slave' start='0x81010' end='0x81018' /></address-map>" />
1177
  
1178
  
1179
  
1180
  
1181
  
1182
  
1183
  
1184
  
1185
  
1186
  
1187
  
1188
  
1189
  
1190
  
1191
  
1192
  
1193
  
1194
  
1195
  
1196
  
1197
  
1198
  
1199
  
1200
  
1201
  
1202
  
1203
  
1204
  
1205
  
1206
  
1207
  
1208
  
1209
  
1210
     name="instSlaveMapParam"
1211
     value="<address-map><slave name='onchip_memory2.s1' start='0x40000' end='0x67100' /><slave name='nios2_qsys.jtag_debug_module' start='0x80800' end='0x81000' /></address-map>" />
1212
  
1213
  
1214
  
1215
  
1216
  
1217
  
1218
  
1219
  
1220
  
1221
  
1222
  
1223
  
1224
  
1225
  
1226
  
1227
  
1228
  
1229
  
1230
  
1231
   
1232
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.ocp"
1233
       type="OTHER"
1234
       attributes="" />
1235
   
1236
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.sdc"
1237
       type="SDC"
1238
       attributes="" />
1239
   
1240
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys.v"
1241
       type="VERILOG_ENCRYPT"
1242
       attributes="" />
1243
   
1244
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_bht_ram.mif"
1245
       type="MIF"
1246
       attributes="" />
1247
   
1248
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_dc_tag_ram.mif"
1249
       type="MIF"
1250
       attributes="" />
1251
   
1252
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ic_tag_ram.mif"
1253
       type="MIF"
1254
       attributes="" />
1255
   
1256
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_sysclk.v"
1257
       type="VERILOG"
1258
       attributes="" />
1259
   
1260
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_tck.v"
1261
       type="VERILOG"
1262
       attributes="" />
1263
   
1264
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_jtag_debug_module_wrapper.v"
1265
       type="VERILOG"
1266
       attributes="" />
1267
   
1268
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_mult_cell.v"
1269
       type="VERILOG"
1270
       attributes="" />
1271
   
1272
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_ociram_default_contents.mif"
1273
       type="MIF"
1274
       attributes="" />
1275
   
1276
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_oci_test_bench.v"
1277
       type="VERILOG"
1278
       attributes="" />
1279
   
1280
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_a.mif"
1281
       type="MIF"
1282
       attributes="" />
1283
   
1284
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_rf_ram_b.mif"
1285
       type="MIF"
1286
       attributes="" />
1287
   
1288
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_nios2_qsys_test_bench.v"
1289
       type="VERILOG"
1290
       attributes="" />
1291
  
1292
  
1293
  
1294
   
1295
       path="C:/altera/14.0/ip/altera/nios2_ip/altera_nios2/altera_nios2_qsys_hw.tcl" />
1296
  
1297
  
1298
  
1299
  
1300
   queue size: 11 starting:altera_nios2_qsys "submodules/DE0_NANO_SOC_QSYS_nios2_qsys"
1301
   Starting RTL generation for module 'DE0_NANO_SOC_QSYS_nios2_qsys'
1302
     Generation command is [exec C:/altera/14.0/quartus/bin64/eperlcmd.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/cpu_lib -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/nios_lib -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2 -I C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2 -- C:/altera/14.0/quartus/../ip/altera/nios2_ip/altera_nios2/generate_rtl.epl --name=DE0_NANO_SOC_QSYS_nios2_qsys --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0001_nios2_qsys_gen/ --quartus_bindir=C:/altera/14.0/quartus/bin64 --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0001_nios2_qsys_gen//DE0_NANO_SOC_QSYS_nios2_qsys_processor_configuration.pl  --do_build_sim=0  ]
1303
   # 2014.12.18 15:52:25 (*) Starting Nios II generation
1304
   # 2014.12.18 15:52:25 (*)   Checking for plaintext license.
1305
   # 2014.12.18 15:52:37 (*)   Plaintext license not found.
1306
   # 2014.12.18 15:52:37 (*)   Checking for encrypted license (non-evaluation).
1307
   # 2014.12.18 15:52:57 (*)   Encrypted license found.  SOF will not be time-limited.
1308
   # 2014.12.18 15:52:57 (*)   Elaborating CPU configuration settings
1309
   # 2014.12.18 15:52:57 (*)   Creating all objects for CPU
1310
   # 2014.12.18 15:52:57 (*)     Testbench
1311
   # 2014.12.18 15:52:58 (*)     Instruction decoding
1312
   # 2014.12.18 15:52:58 (*)       Instruction fields
1313
   # 2014.12.18 15:52:58 (*)       Instruction decodes
1314
   # 2014.12.18 15:52:59 (*)       Signals for RTL simulation waveforms
1315
   # 2014.12.18 15:52:59 (*)       Instruction controls
1316
   # 2014.12.18 15:52:59 (*)     Pipeline frontend
1317
   # 2014.12.18 15:52:59 (*)     Pipeline backend
1318
   # 2014.12.18 15:53:03 (*)   Generating RTL from CPU objects
1319
   # 2014.12.18 15:53:07 (*)   Creating encrypted RTL
1320
   # 2014.12.18 15:53:09 (*) Done Nios II generation
1321
   Done RTL generation for module 'DE0_NANO_SOC_QSYS_nios2_qsys'
1322
   DE0_NANO_SOC_QSYS" instantiated altera_nios2_qsys "nios2_qsys"]]>
1323
  
1324
 
1325
 
1326
   path="submodules/"
1327
   parameterizationKey="altera_avalon_onchip_memory2:14.0:allowInSystemMemoryContentEditor=false,autoInitializationFileName=DE0_NANO_SOC_QSYS_onchip_memory2,blockType=AUTO,dataWidth=32,derived_gui_ram_block_type=Automatic,derived_init_file_name=DE0_NANO_SOC_QSYS_onchip_memory2.hex,derived_is_hardcopy=false,derived_set_addr_width=16,derived_set_data_width=32,deviceFamily=Cyclone V,deviceFeatures=ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1,dualPort=false,ecc_enabled=false,initMemContent=true,initializationFileName=onchip_mem.hex,instanceID=NONE,memorySize=160000,readDuringWriteMode=DONT_CARE,resetrequest_enabled=true,simAllowMRAMContentsFile=false,simMemInitOnlyFilename=0,singleClockOperation=false,slave1Latency=1,slave2Latency=1,useNonDefaultInitFile=false,useShallowMemBlocks=false,writable=true"
1328
   instancePathKey="DE0_NANO_SOC_QSYS:.:onchip_memory2"
1329
   kind="altera_avalon_onchip_memory2"
1330
   version="14.0"
1331
   name="DE0_NANO_SOC_QSYS_onchip_memory2">
1332
  
1333
  
1334
  
1335
  
1336
  
1337
  
1338
  
1339
  
1340
  
1341
  
1342
  
1343
  
1344
  
1345
  
1346
  
1347
  
1348
  
1349
  
1350
  
1351
  
1352
  
1353
  
1354
  
1355
     name="derived_init_file_name"
1356
     value="DE0_NANO_SOC_QSYS_onchip_memory2.hex" />
1357
  
1358
     name="deviceFeatures"
1359
     value="ADDRESS_STALL 1 ADVANCED_INFO 0 ALLOWS_COMPILING_OTHER_FAMILY_IP 1 ANY_QFP 0 CELL_LEVEL_BACK_ANNOTATION_DISABLED 0 COMPILER_SUPPORT 1 DSP 0 DSP_SHIFTER_BLOCK 0 DUMP_ASM_LAB_BITS_FOR_POWER 0 EMUL 1 ENABLE_ADVANCED_IO_ANALYSIS_GUI_FEATURES 1 ENABLE_PIN_PLANNER 0 ENGINEERING_SAMPLE 0 EPCS 1 ESB 0 FAKE1 0 FAKE2 0 FAKE3 0 FAMILY_LEVEL_INSTALLATION_ONLY 0 FASTEST 0 FINAL_TIMING_MODEL 0 FITTER_USE_FALLING_EDGE_DELAY 1 GENERATE_DC_ON_CURRENT_WARNING_FOR_INTERNAL_CLAMPING_DIODE 1 HARDCOPY 0 HAS_18_BIT_MULTS 1 HAS_ACE_SUPPORT 1 HAS_ACTIVE_PARALLEL_FLASH_SUPPORT 0 HAS_ADJUSTABLE_OUTPUT_IO_TIMING_MEAS_POINT 1 HAS_ADVANCED_IO_INVERTED_CORNER 1 HAS_ADVANCED_IO_POWER_SUPPORT 1 HAS_ADVANCED_IO_TIMING_SUPPORT 1 HAS_ALM_SUPPORT 1 HAS_ATOM_AND_ROUTING_POWER_MODELED_TOGETHER 0 HAS_AUTO_DERIVE_CLOCK_UNCERTAINTY_SUPPORT 1 HAS_AUTO_FIT_SUPPORT 1 HAS_BALANCED_OPT_TECHNIQUE_SUPPORT 1 HAS_BENEFICIAL_SKEW_SUPPORT 0 HAS_BITLEVEL_DRIVE_STRENGTH_CONTROL 1 HAS_BSDL_FILE_GENERATION 1 HAS_CDB_RE_NETWORK_PRESERVATION_SUPPORT 0 HAS_CGA_SUPPORT 1 HAS_CHECK_NETLIST_SUPPORT 1 HAS_CLOCK_REGION_CHECKER_ENABLED 1 HAS_CORE_JUNCTION_TEMP_DERATING 0 HAS_CROSSTALK_SUPPORT 0 HAS_CUSTOM_REGION_SUPPORT 1 HAS_DAP_JTAG_FROM_HPS 0 HAS_DATA_DRIVEN_ACVQ_HSSI_SUPPORT 1 HAS_DDB_FDI_SUPPORT 1 HAS_DESIGN_ANALYZER_SUPPORT 1 HAS_DETAILED_IO_RAIL_POWER_MODEL 1 HAS_DETAILED_LEIM_STATIC_POWER_MODEL 0 HAS_DETAILED_LE_POWER_MODEL 1 HAS_DETAILED_ROUTING_MUX_STATIC_POWER_MODEL 0 HAS_DETAILED_THERMAL_CIRCUIT_PARAMETER_SUPPORT 1 HAS_DEVICE_MIGRATION_SUPPORT 1 HAS_DIAGONAL_MIGRATION_SUPPORT 0 HAS_EMIF_TOOLKIT_SUPPORT 1 HAS_ERROR_DETECTION_SUPPORT 1 HAS_FAMILY_VARIANT_MIGRATION_SUPPORT 0 HAS_FANOUT_FREE_NODE_SUPPORT 1 HAS_FAST_FIT_SUPPORT 1 HAS_FITTER_EARLY_TIMING_ESTIMATE_SUPPORT 0 HAS_FITTER_ECO_SUPPORT 1 HAS_FIT_NETLIST_OPT_RETIME_SUPPORT 1 HAS_FIT_NETLIST_OPT_SUPPORT 1 HAS_FORMAL_VERIFICATION_SUPPORT 1 HAS_FPGA_XCHANGE_SUPPORT 1 HAS_FSAC_LUTRAM_REGISTER_PACKING_SUPPORT 1 HAS_FULL_DAT_MIN_TIMING_SUPPORT 1 HAS_FULL_INCREMENTAL_DESIGN_SUPPORT 1 HAS_FUNCTIONAL_SIMULATION_SUPPORT 0 HAS_FUNCTIONAL_VERILOG_SIMULATION_SUPPORT 1 HAS_FUNCTIONAL_VHDL_SIMULATION_SUPPORT 1 HAS_GLITCH_FILTERING_SUPPORT 1 HAS_HARDCOPYII_SUPPORT 0 HAS_HC_READY_SUPPORT 0 HAS_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_HOLD_TIME_AVOIDANCE_ACROSS_CLOCK_SPINE_SUPPORT 1 HAS_HSPICE_WRITER_SUPPORT 1 HAS_HSSI_POWER_CALCULATOR 1 HAS_IBISO_WRITER_SUPPORT 0 HAS_ICD_DATA_IP 0 HAS_INCREMENTAL_DAT_SUPPORT 1 HAS_INCREMENTAL_SYNTHESIS_SUPPORT 1 HAS_INTERFACE_PLANNER_SUPPORT 0 HAS_IO_ASSIGNMENT_ANALYSIS_SUPPORT 1 HAS_IO_DECODER 1 HAS_IO_PLACEMENT_OPTIMIZATION_SUPPORT 1 HAS_IO_SMART_RECOMPILE_SUPPORT 0 HAS_JITTER_SUPPORT 1 HAS_JTAG_SLD_HUB_SUPPORT 1 HAS_LIMITED_TCL_FITTER_SUPPORT 1 HAS_LOGICAL_FLOORPLANNER_SUPPORT 0 HAS_LOGIC_LOCK_SUPPORT 1 HAS_MICROPROCESSOR 0 HAS_MIF_SMART_COMPILE_SUPPORT 1 HAS_MINMAX_TIMING_MODELING_SUPPORT 1 HAS_MIN_TIMING_ANALYSIS_SUPPORT 1 HAS_MUX_RESTRUCTURE_SUPPORT 1 HAS_NEW_HC_FLOW_SUPPORT 0 HAS_NEW_SERDES_MAX_RESOURCE_COUNT_REPORTING_SUPPORT 0 HAS_NEW_VPR_SUPPORT 1 HAS_NONSOCKET_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_NO_HARDBLOCK_PARTITION_SUPPORT 0 HAS_NO_JTAG_USERCODE_SUPPORT 0 HAS_OPERATING_SETTINGS_AND_CONDITIONS_REPORTING_SUPPORT 1 HAS_PAD_LOCATION_ASSIGNMENT_SUPPORT 0 HAS_PARTIAL_RECONFIG_SUPPORT 1 HAS_PASSIVE_PARALLEL_SUPPORT 0 HAS_PHYSICAL_DESIGN_PLANNER_SUPPORT 0 HAS_PHYSICAL_NETLIST_OUTPUT 0 HAS_PHYSICAL_ROUTING_SUPPORT 1 HAS_PIN_SPECIFIC_VOLTAGE_SUPPORT 1 HAS_PLDM_REF_SUPPORT 0 HAS_POWER_BINNING_LIMITS_DATA 1 HAS_POWER_ESTIMATION_SUPPORT 1 HAS_PRELIMINARY_CLOCK_UNCERTAINTY_NUMBERS 0 HAS_PRE_FITTER_FPP_SUPPORT 1 HAS_PRE_FITTER_LUTRAM_NETLIST_CHECKER_ENABLED 1 HAS_PVA_SUPPORT 1 HAS_RAPID_RECOMPILE_SUPPORT 1 HAS_RCF_SUPPORT 1 HAS_RCF_SUPPORT_FOR_DEBUGGING 0 HAS_RED_BLACK_SEPARATION_SUPPORT 0 HAS_RE_LEVEL_TIMING_GRAPH_SUPPORT 1 HAS_RISEFALL_DELAY_SUPPORT 1 HAS_SIGNAL_PROBE_SUPPORT 1 HAS_SIGNAL_TAP_SUPPORT 1 HAS_SIMULATOR_SUPPORT 0 HAS_SPLIT_IO_SUPPORT 1 HAS_SPLIT_LC_SUPPORT 1 HAS_STRICT_PRESERVATION_SUPPORT 1 HAS_SYNTH_FSYN_NETLIST_OPT_SUPPORT 1 HAS_SYNTH_NETLIST_OPT_RETIME_SUPPORT 0 HAS_SYNTH_NETLIST_OPT_SUPPORT 1 HAS_TCL_FITTER_SUPPORT 0 HAS_TECHNOLOGY_MIGRATION_SUPPORT 0 HAS_TEMPLATED_REGISTER_PACKING_SUPPORT 1 HAS_TIME_BORROWING_SUPPORT 0 HAS_TIMING_DRIVEN_SYNTHESIS_SUPPORT 1 HAS_TIMING_INFO_SUPPORT 1 HAS_TIMING_OPERATING_CONDITIONS 1 HAS_TIMING_SIMULATION_SUPPORT 0 HAS_TITAN_BASED_MAC_REGISTER_PACKER_SUPPORT 1 HAS_U2B2_SUPPORT 0 HAS_USER_HIGH_SPEED_LOW_POWER_TILE_SUPPORT 0 HAS_USE_FITTER_INFO_SUPPORT 0 HAS_VCCPD_POWER_RAIL 1 HAS_VERTICAL_MIGRATION_SUPPORT 1 HAS_VIEWDRAW_SYMBOL_SUPPORT 0 HAS_VIO_SUPPORT 1 HAS_VIRTUAL_DEVICES 0 HAS_WYSIWYG_DFFEAS_SUPPORT 1 HAS_XIBISO_WRITER_SUPPORT 1 IFP_USE_LEGACY_IO_CHECKER 1 INCREMENTAL_DESIGN_SUPPORTS_COMPATIBLE_CONSTRAINTS 0 INSTALLED 0 INTERNAL_POF_SUPPORT_ENABLED 0 INTERNAL_USE_ONLY 0 ISSUE_MILITARY_TEMPERATURE_WARNING 0 IS_CONFIG_ROM 0 IS_DEFAULT_FAMILY 0 IS_HARDCOPY_FAMILY 0 IS_HBGA_PACKAGE 0 IS_HIGH_CURRENT_PART 0 IS_LOW_POWER_PART 0 IS_SDM_ONLY_PACKAGE 0 LVDS_IO 1 M10K_MEMORY 1 M144K_MEMORY 0 M20K_MEMORY 0 M4K_MEMORY 0 M512_MEMORY 0 M9K_MEMORY 0 MLAB_MEMORY 1 MRAM_MEMORY 0 NOT_LISTED 0 NOT_MIGRATABLE 0 NO_FITTER_DELAY_CACHE_GENERATED 0 NO_PIN_OUT 0 NO_POF 0 NO_RPE_SUPPORT 0 NO_SUPPORT_FOR_LOGICLOCK_CONTENT_BACK_ANNOTATION 1 NO_SUPPORT_FOR_STA_CLOCK_UNCERTAINTY_CHECK 0 NO_TDC_SUPPORT 0 POSTFIT_BAK_DATABASE_EXPORT_ENABLED 1 POSTMAP_BAK_DATABASE_EXPORT_ENABLED 1 PROGRAMMER_SUPPORT 1 PVA_SUPPORTS_ONLY_SUBSET_OF_ATOMS 0 QFIT_IN_DEVELOPMENT 0 QMAP_IN_DEVELOPMENT 0 RAM_LOGICAL_NAME_CHECKING_IN_CUT_ENABLED 1 REPORTS_METASTABILITY_MTBF 1 REQUIRES_INSTALLATION_PATCH 0 REQUIRES_LIST_OF_TEMPERATURE_AND_VOLTAGE_OPERATING_CONDITIONS 1 RESERVES_SIGNAL_PROBE_PINS 0 RESOLVE_MAX_FANOUT_EARLY 1 RESOLVE_MAX_FANOUT_LATE 0 RESPECTS_FIXED_SIZED_LOCKED_LOCATION_LOGICLOCK 1 RESTRICTED_USER_SELECTION 0 RISEFALL_SUPPORT_IS_HIDDEN 0 SHOW_HIDDEN_FAMILY_IN_PROGRAMMER 0 STRICT_TIMING_DB_CHECKS 0 SUPPORTS_ADDITIONAL_OPTIONS_FOR_UNUSED_IO 1 SUPPORTS_CRC 1 SUPPORTS_DIFFERENTIAL_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_DSP_BALANCING_BACK_ANNOTATION 0 SUPPORTS_GENERATION_OF_EARLY_POWER_ESTIMATOR_FILE 1 SUPPORTS_GLOBAL_SIGNAL_BACK_ANNOTATION 1 SUPPORTS_HIPI_RETIMING 0 SUPPORTS_MAC_CHAIN_OUT_ADDER 1 SUPPORTS_RAM_PACKING_BACK_ANNOTATION 0 SUPPORTS_REG_PACKING_BACK_ANNOTATION 0 SUPPORTS_SIGNALPROBE_REGISTER_PIPELINING 1 SUPPORTS_SINGLE_ENDED_AIOT_BOARD_TRACE_MODEL 1 SUPPORTS_USER_MANUAL_LOGIC_DUPLICATION 1 TMV_RUN_CUSTOMIZABLE_VIEWER 1 TMV_RUN_INTERNAL_DETAILS 1 TMV_RUN_INTERNAL_DETAILS_ON_IO 0 TMV_RUN_INTERNAL_DETAILS_ON_IOBUF 1 TMV_RUN_INTERNAL_DETAILS_ON_LCELL 0 TMV_RUN_INTERNAL_DETAILS_ON_LRAM 0 TRANSCEIVER_3G_BLOCK 1 TRANSCEIVER_6G_BLOCK 1 USES_ACV_FOR_FLED 1 USES_ADB_FOR_BACK_ANNOTATION 1 USES_ALTERA_LNSIM 0 USES_ASIC_ROUTING_POWER_CALCULATOR 0 USES_DATA_DRIVEN_PLL_COMPUTATION_UTIL 1 USES_DEV 1 USES_ICP_FOR_ECO_FITTER 0 USES_LIBERTY_TIMING 0 USES_NETWORK_ROUTING_POWER_CALCULATOR 0 USES_POWER_SIGNAL_ACTIVITIES 1 USES_PVAFAM2 0 USES_THIRD_GENERATION_TIMING_MODELS_TIS 1 USES_U2B2_TIMING_MODELS 0 USES_XML_FORMAT_FOR_EMIF_PIN_MAP_FILE 0 USE_ADVANCED_IO_POWER_BY_DEFAULT 1 USE_ADVANCED_IO_TIMING_BY_DEFAULT 1 USE_BASE_FAMILY_DDB_PATH 0 USE_OCT_AUTO_CALIBRATION 1 USE_RELAX_IO_ASSIGNMENT_RULES 0 USE_RISEFALL_ONLY 1 USE_SEPARATE_LIST_FOR_TECH_MIGRATION 0 USE_SINGLE_COMPILER_PASS_PLL_MIF_FILE_WRITER 1 USE_TITAN_IO_BASED_IO_REGISTER_PACKER_UTIL 1 USING_28NM_OR_OLDER_TIMING_METHODOLOGY 1 WYSIWYG_BUS_WIDTH_CHECKING_IN_CUT_ENABLED 1" />
1360
  
1361
  
1362
  
1363
     name="autoInitializationFileName"
1364
     value="DE0_NANO_SOC_QSYS_onchip_memory2" />
1365
  
1366
   
1367
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_onchip_memory2.hex"
1368
       type="HEX"
1369
       attributes="" />
1370
   
1371
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_onchip_memory2.v"
1372
       type="VERILOG"
1373
       attributes="" />
1374
  
1375
  
1376
  
1377
   
1378
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/altera_avalon_onchip_memory2_hw.tcl" />
1379
  
1380
  
1381
  
1382
  
1383
   queue size: 10 starting:altera_avalon_onchip_memory2 "submodules/DE0_NANO_SOC_QSYS_onchip_memory2"
1384
   Starting RTL generation for module 'DE0_NANO_SOC_QSYS_onchip_memory2'
1385
     Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2 -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_onchip_memory2/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_onchip_memory2 --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0002_onchip_memory2_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0002_onchip_memory2_gen//DE0_NANO_SOC_QSYS_onchip_memory2_component_configuration.pl  --do_build_sim=0  ]
1386
   Done RTL generation for module 'DE0_NANO_SOC_QSYS_onchip_memory2'
1387
   DE0_NANO_SOC_QSYS" instantiated altera_avalon_onchip_memory2 "onchip_memory2"]]>
1388
  
1389
 
1390
 
1391
   path="submodules/"
1392
   parameterizationKey="altera_avalon_sysid_qsys:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,id=0,timestamp=1418889131"
1393
   instancePathKey="DE0_NANO_SOC_QSYS:.:sysid_qsys"
1394
   kind="altera_avalon_sysid_qsys"
1395
   version="14.0"
1396
   name="DE0_NANO_SOC_QSYS_sysid_qsys">
1397
  
1398
  
1399
  
1400
  
1401
  
1402
   
1403
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_sysid_qsys.v"
1404
       type="VERILOG" />
1405
  
1406
  
1407
  
1408
   
1409
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sysid_qsys/altera_avalon_sysid_qsys_hw.tcl" />
1410
  
1411
  
1412
  
1413
  
1414
   queue size: 9 starting:altera_avalon_sysid_qsys "submodules/DE0_NANO_SOC_QSYS_sysid_qsys"
1415
   DE0_NANO_SOC_QSYS" instantiated altera_avalon_sysid_qsys "sysid_qsys"]]>
1416
  
1417
 
1418
 
1419
   path="submodules/"
1420
   parameterizationKey="altera_avalon_jtag_uart:14.0:allowMultipleConnections=false,avalonSpec=2.0,enableInteractiveInput=false,enableInteractiveOutput=false,hubInstanceID=0,legacySignalAllow=false,readBufferDepth=64,readIRQThreshold=8,simInputCharacterStream=,simInteractiveOptions=NO_INTERACTIVE_WINDOWS,useRegistersForReadBuffer=false,useRegistersForWriteBuffer=false,useRelativePathForSimFile=false,writeBufferDepth=64,writeIRQThreshold=8"
1421
   instancePathKey="DE0_NANO_SOC_QSYS:.:jtag_uart"
1422
   kind="altera_avalon_jtag_uart"
1423
   version="14.0"
1424
   name="DE0_NANO_SOC_QSYS_jtag_uart">
1425
  
1426
  
1427
  
1428
  
1429
  
1430
  
1431
  
1432
  
1433
  
1434
  
1435
  
1436
  
1437
  
1438
  
1439
  
1440
  
1441
   
1442
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_jtag_uart.v"
1443
       type="VERILOG"
1444
       attributes="" />
1445
  
1446
  
1447
  
1448
   
1449
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/altera_avalon_jtag_uart_hw.tcl" />
1450
  
1451
  
1452
  
1453
  
1454
   queue size: 8 starting:altera_avalon_jtag_uart "submodules/DE0_NANO_SOC_QSYS_jtag_uart"
1455
   Starting RTL generation for module 'DE0_NANO_SOC_QSYS_jtag_uart'
1456
     Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_jtag_uart/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_jtag_uart --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0004_jtag_uart_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0004_jtag_uart_gen//DE0_NANO_SOC_QSYS_jtag_uart_component_configuration.pl  --do_build_sim=0  ]
1457
   Done RTL generation for module 'DE0_NANO_SOC_QSYS_jtag_uart'
1458
   DE0_NANO_SOC_QSYS" instantiated altera_avalon_jtag_uart "jtag_uart"]]>
1459
  
1460
 
1461
 
1462
   path="submodules/"
1463
   parameterizationKey="altera_pll:14.0:AUTO_REFCLK_CLOCK_RATE=50000000,c_cnt_bypass_en0=false,c_cnt_bypass_en1=false,c_cnt_bypass_en10=true,c_cnt_bypass_en11=true,c_cnt_bypass_en12=true,c_cnt_bypass_en13=true,c_cnt_bypass_en14=true,c_cnt_bypass_en15=true,c_cnt_bypass_en16=true,c_cnt_bypass_en17=true,c_cnt_bypass_en2=false,c_cnt_bypass_en3=true,c_cnt_bypass_en4=true,c_cnt_bypass_en5=true,c_cnt_bypass_en6=true,c_cnt_bypass_en7=true,c_cnt_bypass_en8=true,c_cnt_bypass_en9=true,c_cnt_hi_div0=2,c_cnt_hi_div1=5,c_cnt_hi_div10=1,c_cnt_hi_div11=1,c_cnt_hi_div12=1,c_cnt_hi_div13=1,c_cnt_hi_div14=1,c_cnt_hi_div15=1,c_cnt_hi_div16=1,c_cnt_hi_div17=1,c_cnt_hi_div2=1,c_cnt_hi_div3=1,c_cnt_hi_div4=1,c_cnt_hi_div5=1,c_cnt_hi_div6=1,c_cnt_hi_div7=1,c_cnt_hi_div8=1,c_cnt_hi_div9=1,c_cnt_in_src0=ph_mux_clk,c_cnt_in_src1=ph_mux_clk,c_cnt_in_src10=ph_mux_clk,c_cnt_in_src11=ph_mux_clk,c_cnt_in_src12=ph_mux_clk,c_cnt_in_src13=ph_mux_clk,c_cnt_in_src14=ph_mux_clk,c_cnt_in_src15=ph_mux_clk,c_cnt_in_src16=ph_mux_clk,c_cnt_in_src17=ph_mux_clk,c_cnt_in_src2=ph_mux_clk,c_cnt_in_src3=ph_mux_clk,c_cnt_in_src4=ph_mux_clk,c_cnt_in_src5=ph_mux_clk,c_cnt_in_src6=ph_mux_clk,c_cnt_in_src7=ph_mux_clk,c_cnt_in_src8=ph_mux_clk,c_cnt_in_src9=ph_mux_clk,c_cnt_lo_div0=2,c_cnt_lo_div1=5,c_cnt_lo_div10=1,c_cnt_lo_div11=1,c_cnt_lo_div12=1,c_cnt_lo_div13=1,c_cnt_lo_div14=1,c_cnt_lo_div15=1,c_cnt_lo_div16=1,c_cnt_lo_div17=1,c_cnt_lo_div2=1,c_cnt_lo_div3=1,c_cnt_lo_div4=1,c_cnt_lo_div5=1,c_cnt_lo_div6=1,c_cnt_lo_div7=1,c_cnt_lo_div8=1,c_cnt_lo_div9=1,c_cnt_odd_div_duty_en0=false,c_cnt_odd_div_duty_en1=false,c_cnt_odd_div_duty_en10=false,c_cnt_odd_div_duty_en11=false,c_cnt_odd_div_duty_en12=false,c_cnt_odd_div_duty_en13=false,c_cnt_odd_div_duty_en14=false,c_cnt_odd_div_duty_en15=false,c_cnt_odd_div_duty_en16=false,c_cnt_odd_div_duty_en17=false,c_cnt_odd_div_duty_en2=false,c_cnt_odd_div_duty_en3=false,c_cnt_odd_div_duty_en4=false,c_cnt_odd_div_duty_en5=false,c_cnt_odd_div_duty_en6=false,c_cnt_odd_div_duty_en7=false,c_cnt_odd_div_duty_en8=false,c_cnt_odd_div_duty_en9=false,c_cnt_ph_mux_prst0=0,c_cnt_ph_mux_prst1=0,c_cnt_ph_mux_prst10=0,c_cnt_ph_mux_prst11=0,c_cnt_ph_mux_prst12=0,c_cnt_ph_mux_prst13=0,c_cnt_ph_mux_prst14=0,c_cnt_ph_mux_prst15=0,c_cnt_ph_mux_prst16=0,c_cnt_ph_mux_prst17=0,c_cnt_ph_mux_prst2=0,c_cnt_ph_mux_prst3=0,c_cnt_ph_mux_prst4=0,c_cnt_ph_mux_prst5=0,c_cnt_ph_mux_prst6=0,c_cnt_ph_mux_prst7=0,c_cnt_ph_mux_prst8=0,c_cnt_ph_mux_prst9=0,c_cnt_prst0=1,c_cnt_prst1=1,c_cnt_prst10=1,c_cnt_prst11=1,c_cnt_prst12=1,c_cnt_prst13=1,c_cnt_prst14=1,c_cnt_prst15=1,c_cnt_prst16=1,c_cnt_prst17=1,c_cnt_prst2=1,c_cnt_prst3=1,c_cnt_prst4=1,c_cnt_prst5=1,c_cnt_prst6=1,c_cnt_prst7=1,c_cnt_prst8=1,c_cnt_prst9=1,debug_print_output=false,debug_use_rbc_taf_method=false,device=5CSEMA4U23C6,device_family=Cyclone V,duty_cycle0=50,duty_cycle1=50,duty_cycle10=50,duty_cycle11=50,duty_cycle12=50,duty_cycle13=50,duty_cycle14=50,duty_cycle15=50,duty_cycle16=50,duty_cycle17=50,duty_cycle2=50,duty_cycle3=50,duty_cycle4=50,duty_cycle5=50,duty_cycle6=50,duty_cycle7=50,duty_cycle8=50,duty_cycle9=50,fractional_vco_multiplier=false,gui_active_clk=false,gui_actual_divide_factor0=4,gui_actual_divide_factor1=10,gui_actual_divide_factor10=1,gui_actual_divide_factor11=1,gui_actual_divide_factor12=1,gui_actual_divide_factor13=1,gui_actual_divide_factor14=1,gui_actual_divide_factor15=1,gui_actual_divide_factor16=1,gui_actual_divide_factor17=1,gui_actual_divide_factor2=2,gui_actual_divide_factor3=1,gui_actual_divide_factor4=1,gui_actual_divide_factor5=1,gui_actual_divide_factor6=1,gui_actual_divide_factor7=1,gui_actual_divide_factor8=1,gui_actual_divide_factor9=1,gui_actual_frac_multiply_factor0=1,gui_actual_frac_multiply_factor1=1,gui_actual_frac_multiply_factor10=1,gui_actual_frac_multiply_factor11=1,gui_actual_frac_multiply_factor12=1,gui_actual_frac_multiply_factor13=1,gui_actual_frac_multiply_factor14=1,gui_actual_frac_multiply_factor15=1,gui_actual_frac_multiply_factor16=1,gui_actual_frac_multiply_factor17=1,gui_actual_frac_multiply_factor2=1,gui_actual_frac_multiply_factor3=1,gui_actual_frac_multiply_factor4=1,gui_actual_frac_multiply_factor5=1,gui_actual_frac_multiply_factor6=1,gui_actual_frac_multiply_factor7=1,gui_actual_frac_multiply_factor8=1,gui_actual_frac_multiply_factor9=1,gui_actual_multiply_factor0=8,gui_actual_multiply_factor1=8,gui_actual_multiply_factor10=1,gui_actual_multiply_factor11=1,gui_actual_multiply_factor12=1,gui_actual_multiply_factor13=1,gui_actual_multiply_factor14=1,gui_actual_multiply_factor15=1,gui_actual_multiply_factor16=1,gui_actual_multiply_factor17=1,gui_actual_multiply_factor2=8,gui_actual_multiply_factor3=1,gui_actual_multiply_factor4=1,gui_actual_multiply_factor5=1,gui_actual_multiply_factor6=1,gui_actual_multiply_factor7=1,gui_actual_multiply_factor8=1,gui_actual_multiply_factor9=1,gui_actual_output_clock_frequency0=0 MHz,gui_actual_output_clock_frequency1=0 MHz,gui_actual_output_clock_frequency10=0 MHz,gui_actual_output_clock_frequency11=0 MHz,gui_actual_output_clock_frequency12=0 MHz,gui_actual_output_clock_frequency13=0 MHz,gui_actual_output_clock_frequency14=0 MHz,gui_actual_output_clock_frequency15=0 MHz,gui_actual_output_clock_frequency16=0 MHz,gui_actual_output_clock_frequency17=0 MHz,gui_actual_output_clock_frequency2=0 MHz,gui_actual_output_clock_frequency3=0 MHz,gui_actual_output_clock_frequency4=0 MHz,gui_actual_output_clock_frequency5=0 MHz,gui_actual_output_clock_frequency6=0 MHz,gui_actual_output_clock_frequency7=0 MHz,gui_actual_output_clock_frequency8=0 MHz,gui_actual_output_clock_frequency9=0 MHz,gui_actual_phase_shift0=0,gui_actual_phase_shift1=0,gui_actual_phase_shift10=0,gui_actual_phase_shift11=0,gui_actual_phase_shift12=0,gui_actual_phase_shift13=0,gui_actual_phase_shift14=0,gui_actual_phase_shift15=0,gui_actual_phase_shift16=0,gui_actual_phase_shift17=0,gui_actual_phase_shift2=0,gui_actual_phase_shift3=0,gui_actual_phase_shift4=0,gui_actual_phase_shift5=0,gui_actual_phase_shift6=0,gui_actual_phase_shift7=0,gui_actual_phase_shift8=0,gui_actual_phase_shift9=0,gui_cascade_counter0=false,gui_cascade_counter1=false,gui_cascade_counter10=false,gui_cascade_counter11=false,gui_cascade_counter12=false,gui_cascade_counter13=false,gui_cascade_counter14=false,gui_cascade_counter15=false,gui_cascade_counter16=false,gui_cascade_counter17=false,gui_cascade_counter2=false,gui_cascade_counter3=false,gui_cascade_counter4=false,gui_cascade_counter5=false,gui_cascade_counter6=false,gui_cascade_counter7=false,gui_cascade_counter8=false,gui_cascade_counter9=false,gui_cascade_outclk_index=0,gui_channel_spacing=0.0,gui_clk_bad=false,gui_device_speed_grade=2,gui_divide_factor_c0=1,gui_divide_factor_c1=1,gui_divide_factor_c10=1,gui_divide_factor_c11=1,gui_divide_factor_c12=1,gui_divide_factor_c13=1,gui_divide_factor_c14=1,gui_divide_factor_c15=1,gui_divide_factor_c16=1,gui_divide_factor_c17=1,gui_divide_factor_c2=1,gui_divide_factor_c3=1,gui_divide_factor_c4=1,gui_divide_factor_c5=1,gui_divide_factor_c6=1,gui_divide_factor_c7=1,gui_divide_factor_c8=1,gui_divide_factor_c9=1,gui_divide_factor_n=1,gui_dps_cntr=C0,gui_dps_dir=Positive,gui_dps_num=1,gui_dsm_out_sel=1st_order,gui_duty_cycle0=50,gui_duty_cycle1=50,gui_duty_cycle10=50,gui_duty_cycle11=50,gui_duty_cycle12=50,gui_duty_cycle13=50,gui_duty_cycle14=50,gui_duty_cycle15=50,gui_duty_cycle16=50,gui_duty_cycle17=50,gui_duty_cycle2=50,gui_duty_cycle3=50,gui_duty_cycle4=50,gui_duty_cycle5=50,gui_duty_cycle6=50,gui_duty_cycle7=50,gui_duty_cycle8=50,gui_duty_cycle9=50,gui_en_adv_params=false,gui_en_dps_ports=false,gui_en_lvds_ports=false,gui_en_phout_ports=false,gui_en_reconf=false,gui_enable_cascade_in=false,gui_enable_cascade_out=false,gui_enable_mif_dps=false,gui_feedback_clock=Global Clock,gui_frac_multiply_factor=1,gui_fractional_cout=32,gui_mif_generate=false,gui_multiply_factor=1,gui_number_of_clocks=3,gui_operation_mode=normal,gui_output_clock_frequency0=100.0,gui_output_clock_frequency1=40.0,gui_output_clock_frequency10=100.0,gui_output_clock_frequency11=100.0,gui_output_clock_frequency12=100.0,gui_output_clock_frequency13=100.0,gui_output_clock_frequency14=100.0,gui_output_clock_frequency15=100.0,gui_output_clock_frequency16=100.0,gui_output_clock_frequency17=100.0,gui_output_clock_frequency2=200.0,gui_output_clock_frequency3=120.0,gui_output_clock_frequency4=100.0,gui_output_clock_frequency5=100.0,gui_output_clock_frequency6=100.0,gui_output_clock_frequency7=100.0,gui_output_clock_frequency8=100.0,gui_output_clock_frequency9=100.0,gui_parameter_list=M-Counter Hi Divide,M-Counter Low Divide,N-Counter Hi Divide,N-Counter Low Divide,M-Counter Bypass Enable,N-Counter Bypass Enable,M-Counter Odd Divide Enable,N-Counter Odd Divide Enable,C-Counter-0 Hi Divide,C-Counter-0 Low Divide,C-Counter-0 Coarse Phase Shift,C-Counter-0 VCO Phase Tap,C-Counter-0 Input Source,C-Counter-0 Bypass Enable,C-Counter-0 Odd Divide Enable,C-Counter-1 Hi Divide,C-Counter-1 Low Divide,C-Counter-1 Coarse Phase Shift,C-Counter-1 VCO Phase Tap,C-Counter-1 Input Source,C-Counter-1 Bypass Enable,C-Counter-1 Odd Divide Enable,C-Counter-2 Hi Divide,C-Counter-2 Low Divide,C-Counter-2 Coarse Phase Shift,C-Counter-2 VCO Phase Tap,C-Counter-2 Input Source,C-Counter-2 Bypass Enable,C-Counter-2 Odd Divide Enable,VCO Post Divide Counter Enable,Charge Pump current (uA),Loop Filter Bandwidth Resistor (Ohms) ,PLL Output VCO Frequency,K-Fractional Division Value (DSM),Feedback Clock Type,Feedback Clock MUX 1,Feedback Clock MUX 2,M Counter Source MUX,PLL Auto Reset,gui_parameter_values=4,4,256,256,false,true,false,false,2,2,1,0,ph_mux_clk,false,false,5,5,1,0,ph_mux_clk,false,false,1,1,1,0,ph_mux_clk,false,false,2,20,4000,400.0 MHz,1,gclk,glb,fb_1,ph_mux_clk,false,gui_phase_shift0=0,gui_phase_shift1=0,gui_phase_shift10=0,gui_phase_shift11=0,gui_phase_shift12=0,gui_phase_shift13=0,gui_phase_shift14=0,gui_phase_shift15=0,gui_phase_shift16=0,gui_phase_shift17=0,gui_phase_shift2=0,gui_phase_shift3=0,gui_phase_shift4=0,gui_phase_shift5=0,gui_phase_shift6=0,gui_phase_shift7=0,gui_phase_shift8=0,gui_phase_shift9=0,gui_phase_shift_deg0=0.0,gui_phase_shift_deg1=0.0,gui_phase_shift_deg10=0.0,gui_phase_shift_deg11=0.0,gui_phase_shift_deg12=0.0,gui_phase_shift_deg13=0.0,gui_phase_shift_deg14=0.0,gui_phase_shift_deg15=0.0,gui_phase_shift_deg16=0.0,gui_phase_shift_deg17=0.0,gui_phase_shift_deg2=0.0,gui_phase_shift_deg3=0.0,gui_phase_shift_deg4=0.0,gui_phase_shift_deg5=0.0,gui_phase_shift_deg6=0.0,gui_phase_shift_deg7=0.0,gui_phase_shift_deg8=0.0,gui_phase_shift_deg9=0.0,gui_phout_division=1,gui_pll_auto_reset=Off,gui_pll_bandwidth_preset=Auto,gui_pll_cascading_mode=Create an adjpllin signal to connect with an upstream PLL,gui_pll_mode=Integer-N PLL,gui_ps_units0=ps,gui_ps_units1=ps,gui_ps_units10=ps,gui_ps_units11=ps,gui_ps_units12=ps,gui_ps_units13=ps,gui_ps_units14=ps,gui_ps_units15=ps,gui_ps_units16=ps,gui_ps_units17=ps,gui_ps_units2=ps,gui_ps_units3=ps,gui_ps_units4=ps,gui_ps_units5=ps,gui_ps_units6=ps,gui_ps_units7=ps,gui_ps_units8=ps,gui_ps_units9=ps,gui_refclk1_frequency=100.0,gui_refclk_switch=false,gui_reference_clock_frequency=50.0,gui_switchover_delay=0,gui_switchover_mode=Automatic Switchover,gui_use_locked=true,m_cnt_bypass_en=false,m_cnt_hi_div=4,m_cnt_lo_div=4,m_cnt_odd_div_duty_en=false,mimic_fbclk_type=gclk,n_cnt_bypass_en=true,n_cnt_hi_div=256,n_cnt_lo_div=256,n_cnt_odd_div_duty_en=false,number_of_cascade_counters=0,number_of_clocks=3,operation_mode=normal,output_clock_frequency0=100.000000 MHz,output_clock_frequency1=40.000000 MHz,output_clock_frequency10=0 MHz,output_clock_frequency11=0 MHz,output_clock_frequency12=0 MHz,output_clock_frequency13=0 MHz,output_clock_frequency14=0 MHz,output_clock_frequency15=0 MHz,output_clock_frequency16=0 MHz,output_clock_frequency17=0 MHz,output_clock_frequency2=200.000000 MHz,output_clock_frequency3=0 MHz,output_clock_frequency4=0 MHz,output_clock_frequency5=0 MHz,output_clock_frequency6=0 MHz,output_clock_frequency7=0 MHz,output_clock_frequency8=0 MHz,output_clock_frequency9=0 MHz,phase_shift0=0 ps,phase_shift1=0 ps,phase_shift10=0 ps,phase_shift11=0 ps,phase_shift12=0 ps,phase_shift13=0 ps,phase_shift14=0 ps,phase_shift15=0 ps,phase_shift16=0 ps,phase_shift17=0 ps,phase_shift2=0 ps,phase_shift3=0 ps,phase_shift4=0 ps,phase_shift5=0 ps,phase_shift6=0 ps,phase_shift7=0 ps,phase_shift8=0 ps,phase_shift9=0 ps,pll_auto_clk_sw_en=false,pll_bwctrl=4000,pll_clk_loss_sw_en=false,pll_clk_sw_dly=0,pll_clkin_0_src=clk_0,pll_clkin_1_src=clk_0,pll_cp_current=20,pll_dsm_out_sel=1st_order,pll_fbclk_mux_1=glb,pll_fbclk_mux_2=fb_1,pll_fractional_cout=32,pll_fractional_division=1,pll_m_cnt_in_src=ph_mux_clk,pll_manu_clk_sw_en=false,pll_output_clk_frequency=400.0 MHz,pll_slf_rst=false,pll_subtype=General,pll_type=General,pll_vco_div=2,pll_vcoph_div=1,refclk1_frequency=100.0 MHz,reference_clock_frequency=50.0 MHz"
1464
   instancePathKey="DE0_NANO_SOC_QSYS:.:pll_sys"
1465
   kind="altera_pll"
1466
   version="14.0"
1467
   name="DE0_NANO_SOC_QSYS_pll_sys">
1468
  
1469
  
1470
  
1471
  
1472
  
1473
  
1474
  
1475
  
1476
  
1477
  
1478
  
1479
  
1480
  
1481
  
1482
  
1483
  
1484
  
1485
  
1486
  
1487
  
1488
  
1489
  
1490
  
1491
  
1492
  
1493
  
1494
  
1495
  
1496
  
1497
  
1498
  
1499
  
1500
  
1501
  
1502
  
1503
  
1504
  
1505
  
1506
  
1507
  
1508
  
1509
  
1510
  
1511
  
1512
  
1513
  
1514
  
1515
  
1516
  
1517
  
1518
  
1519
  
1520
  
1521
  
1522
  
1523
  
1524
  
1525
  
1526
  
1527
  
1528
  
1529
  
1530
  
1531
  
1532
  
1533
  
1534
  
1535
  
1536
  
1537
  
1538
  
1539
  
1540
  
1541
  
1542
  
1543
  
1544
  
1545
  
1546
  
1547
  
1548
  
1549
  
1550
  
1551
  
1552
  
1553
  
1554
  
1555
  
1556
  
1557
  
1558
  
1559
  
1560
  
1561
  
1562
  
1563
  
1564
  
1565
  
1566
  
1567
  
1568
  
1569
  
1570
  
1571
  
1572
  
1573
  
1574
  
1575
  
1576
  
1577
  
1578
  
1579
  
1580
  
1581
  
1582
  
1583
  
1584
  
1585
  
1586
  
1587
  
1588
  
1589
  
1590
  
1591
  
1592
  
1593
  
1594
  
1595
  
1596
  
1597
  
1598
  
1599
  
1600
  
1601
  
1602
  
1603
  
1604
  
1605
  
1606
  
1607
  
1608
  
1609
  
1610
  
1611
  
1612
  
1613
  
1614
  
1615
  
1616
  
1617
  
1618
  
1619
  
1620
  
1621
  
1622
  
1623
  
1624
  
1625
  
1626
  
1627
  
1628
  
1629
  
1630
  
1631
  
1632
  
1633
  
1634
  
1635
  
1636
  
1637
  
1638
  
1639
  
1640
  
1641
  
1642
  
1643
  
1644
  
1645
  
1646
  
1647
  
1648
  
1649
  
1650
  
1651
  
1652
  
1653
  
1654
  
1655
  
1656
  
1657
  
1658
  
1659
  
1660
  
1661
  
1662
  
1663
  
1664
  
1665
  
1666
  
1667
  
1668
  
1669
  
1670
  
1671
  
1672
  
1673
  
1674
  
1675
  
1676
  
1677
  
1678
  
1679
  
1680
  
1681
  
1682
  
1683
  
1684
  
1685
  
1686
  
1687
  
1688
  
1689
  
1690
  
1691
  
1692
  
1693
  
1694
  
1695
     name="gui_pll_cascading_mode"
1696
     value="Create an adjpllin signal to connect with an upstream PLL" />
1697
  
1698
  
1699
  
1700
  
1701
  
1702
  
1703
  
1704
  
1705
  
1706
  
1707
  
1708
  
1709
  
1710
  
1711
  
1712
  
1713
  
1714
  
1715
  
1716
  
1717
  
1718
  
1719
  
1720
  
1721
  
1722
  
1723
  
1724
  
1725
  
1726
  
1727
  
1728
  
1729
  
1730
  
1731
  
1732
  
1733
  
1734
  
1735
  
1736
  
1737
  
1738
  
1739
  
1740
  
1741
  
1742
  
1743
  
1744
  
1745
  
1746
  
1747
  
1748
  
1749
  
1750
  
1751
  
1752
  
1753
  
1754
  
1755
  
1756
  
1757
  
1758
  
1759
  
1760
  
1761
  
1762
  
1763
  
1764
  
1765
  
1766
  
1767
  
1768
     name="gui_parameter_list"
1769
     value="M-Counter Hi Divide,M-Counter Low Divide,N-Counter Hi Divide,N-Counter Low Divide,M-Counter Bypass Enable,N-Counter Bypass Enable,M-Counter Odd Divide Enable,N-Counter Odd Divide Enable,C-Counter-0 Hi Divide,C-Counter-0 Low Divide,C-Counter-0 Coarse Phase Shift,C-Counter-0 VCO Phase Tap,C-Counter-0 Input Source,C-Counter-0 Bypass Enable,C-Counter-0 Odd Divide Enable,C-Counter-1 Hi Divide,C-Counter-1 Low Divide,C-Counter-1 Coarse Phase Shift,C-Counter-1 VCO Phase Tap,C-Counter-1 Input Source,C-Counter-1 Bypass Enable,C-Counter-1 Odd Divide Enable,C-Counter-2 Hi Divide,C-Counter-2 Low Divide,C-Counter-2 Coarse Phase Shift,C-Counter-2 VCO Phase Tap,C-Counter-2 Input Source,C-Counter-2 Bypass Enable,C-Counter-2 Odd Divide Enable,VCO Post Divide Counter Enable,Charge Pump current (uA),Loop Filter Bandwidth Resistor (Ohms) ,PLL Output VCO Frequency,K-Fractional Division Value (DSM),Feedback Clock Type,Feedback Clock MUX 1,Feedback Clock MUX 2,M Counter Source MUX,PLL Auto Reset" />
1770
  
1771
     name="gui_parameter_values"
1772
     value="4,4,256,256,false,true,false,false,2,2,1,0,ph_mux_clk,false,false,5,5,1,0,ph_mux_clk,false,false,1,1,1,0,ph_mux_clk,false,false,2,20,4000,400.0 MHz,1,gclk,glb,fb_1,ph_mux_clk,false" />
1773
  
1774
  
1775
  
1776
  
1777
  
1778
  
1779
  
1780
  
1781
  
1782
  
1783
  
1784
  
1785
  
1786
  
1787
  
1788
  
1789
  
1790
  
1791
  
1792
  
1793
  
1794
  
1795
  
1796
  
1797
  
1798
  
1799
  
1800
  
1801
  
1802
  
1803
  
1804
  
1805
  
1806
  
1807
  
1808
  
1809
  
1810
  
1811
  
1812
  
1813
  
1814
  
1815
  
1816
  
1817
  
1818
  
1819
  
1820
  
1821
  
1822
  
1823
  
1824
  
1825
  
1826
  
1827
  
1828
  
1829
  
1830
  
1831
  
1832
  
1833
  
1834
  
1835
  
1836
  
1837
  
1838
  
1839
  
1840
  
1841
  
1842
  
1843
  
1844
  
1845
  
1846
  
1847
  
1848
  
1849
  
1850
  
1851
  
1852
  
1853
  
1854
  
1855
  
1856
  
1857
  
1858
  
1859
  
1860
  
1861
  
1862
  
1863
  
1864
  
1865
  
1866
  
1867
  
1868
  
1869
  
1870
  
1871
  
1872
  
1873
  
1874
  
1875
  
1876
  
1877
  
1878
  
1879
  
1880
  
1881
  
1882
  
1883
  
1884
  
1885
  
1886
  
1887
  
1888
  
1889
  
1890
  
1891
  
1892
  
1893
  
1894
  
1895
  
1896
  
1897
  
1898
  
1899
  
1900
  
1901
  
1902
  
1903
  
1904
  
1905
  
1906
  
1907
  
1908
  
1909
  
1910
  
1911
  
1912
  
1913
  
1914
  
1915
  
1916
  
1917
  
1918
  
1919
  
1920
  
1921
  
1922
  
1923
  
1924
  
1925
  
1926
  
1927
  
1928
  
1929
  
1930
  
1931
  
1932
  
1933
  
1934
  
1935
  
1936
  
1937
  
1938
  
1939
  
1940
  
1941
  
1942
  
1943
  
1944
   
1945
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_pll_sys.v"
1946
       type="VERILOG"
1947
       attributes="" />
1948
   
1949
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_pll_sys.qip"
1950
       type="OTHER"
1951
       attributes="" />
1952
  
1953
  
1954
  
1955
   
1956
   
1957
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.components.hwtclvalidator.jar" />
1958
   
1959
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.privateinterfaces.jar" />
1960
   
1961
   
1962
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.jar" />
1963
   
1964
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.entityinterfaces.jar" />
1965
   
1966
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.utilities.jar" />
1967
   
1968
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/hamcrest-all-1.3.jar" />
1969
   
1970
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/commons-lang3-3.1.jar" />
1971
   
1972
   
1973
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.infrastructure.jar" />
1974
   
1975
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.version.jar" />
1976
   
1977
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.jdbcsqlite.jar" />
1978
   
1979
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/commons-logging-1.1.jar" />
1980
   
1981
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopclibrary.jar" />
1982
   
1983
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.atlantic.jar" />
1984
   
1985
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.components.tclmodule.jar" />
1986
   
1987
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.hdlcomponent.jar" />
1988
   
1989
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopc.generator.jar" />
1990
   
1991
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.hdlwriter.jar" />
1992
   
1993
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcreport.build.jar" />
1994
   
1995
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcdocument.jar" />
1996
   
1997
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcreport.jar" />
1998
   
1999
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopcmodel.transforms.jar" />
2000
   
2001
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.qsys.cmsis.jar" />
2002
   
2003
   
2004
   
2005
   
2006
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.qsys.ipxact.jar" />
2007
   
2008
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.sopc.qsymbol.jar" />
2009
   
2010
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.minieval2.jar" />
2011
   
2012
       path="C:/altera/14.0/quartus/sopc_builder/model/lib/com.altera.tcl.interpreter.jar" />
2013
   
2014
   
2015
  
2016
  
2017
  
2018
  
2019
   queue size: 7 starting:altera_pll "submodules/DE0_NANO_SOC_QSYS_pll_sys"
2020
   DE0_NANO_SOC_QSYS" instantiated altera_pll "pll_sys"]]>
2021
  
2022
 
2023
 
2024
   path="submodules/"
2025
   parameterizationKey="adc_ltc2308:1.1:AUTO_CLOCK_SINK_ADC_CLOCK_RATE=40000000,AUTO_CLOCK_SINK_CLOCK_RATE=100000000"
2026
   instancePathKey="DE0_NANO_SOC_QSYS:.:adc_ltc2308"
2027
   kind="adc_ltc2308"
2028
   version="1.1"
2029
   name="adc_ltc2308_fifo">
2030
  
2031
  
2032
  
2033
   
2034
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_ltc2308_fifo.v"
2035
       type="VERILOG"
2036
       attributes="TOP_LEVEL_FILE" />
2037
   
2038
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_ltc2308.v"
2039
       type="VERILOG"
2040
       attributes="" />
2041
   
2042
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/adc_data_fifo.v"
2043
       type="VERILOG"
2044
       attributes="" />
2045
  
2046
  
2047
  
2048
   
2049
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/ip/ADC_LTC2308_FIFO/adc_ltc2308_hw.tcl" />
2050
  
2051
  
2052
  
2053
  
2054
   queue size: 6 starting:adc_ltc2308 "submodules/adc_ltc2308_fifo"
2055
   DE0_NANO_SOC_QSYS" instantiated adc_ltc2308 "adc_ltc2308"]]>
2056
  
2057
 
2058
 
2059
   path="submodules/"
2060
   parameterizationKey="altera_avalon_pio:14.0:bitClearingEdgeCapReg=false,bitModifyingOutReg=false,captureEdge=true,clockRate=100000000,derived_capture=true,derived_do_test_bench_wiring=false,derived_edge_type=ANY,derived_has_in=true,derived_has_irq=true,derived_has_out=false,derived_has_tri=false,derived_irq_type=EDGE,direction=Input,edgeType=ANY,generateIRQ=true,irqType=EDGE,resetValue=0,simDoTestBenchWiring=false,simDrivenValue=0,width=10"
2061
   instancePathKey="DE0_NANO_SOC_QSYS:.:sw"
2062
   kind="altera_avalon_pio"
2063
   version="14.0"
2064
   name="DE0_NANO_SOC_QSYS_sw">
2065
  
2066
  
2067
  
2068
  
2069
  
2070
  
2071
  
2072
  
2073
  
2074
  
2075
  
2076
  
2077
  
2078
  
2079
  
2080
  
2081
  
2082
  
2083
  
2084
  
2085
  
2086
   
2087
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_sw.v"
2088
       type="VERILOG"
2089
       attributes="" />
2090
  
2091
  
2092
  
2093
   
2094
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_pio/altera_avalon_pio_hw.tcl" />
2095
  
2096
  
2097
  
2098
  
2099
   queue size: 5 starting:altera_avalon_pio "submodules/DE0_NANO_SOC_QSYS_sw"
2100
   Starting RTL generation for module 'DE0_NANO_SOC_QSYS_sw'
2101
     Generation command is [exec C:/altera/14.0/quartus/bin64/perl/bin/perl.exe -I C:/altera/14.0/quartus/bin64/perl/lib -I C:/altera/14.0/quartus/sopc_builder/bin/europa -I C:/altera/14.0/quartus/sopc_builder/bin/perl_lib -I C:/altera/14.0/quartus/sopc_builder/bin -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/common -I C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio -- C:/altera/14.0/quartus/../ip/altera/sopc_builder_ip/altera_avalon_pio/generate_rtl.pl --name=DE0_NANO_SOC_QSYS_sw --dir=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0007_sw_gen/ --quartus_dir=C:/altera/14.0/quartus --verilog --config=C:/Users/ADMINI~1/AppData/Local/Temp/alt6422_7349580087849942241.dir/0007_sw_gen//DE0_NANO_SOC_QSYS_sw_component_configuration.pl  --do_build_sim=0  ]
2102
   Done RTL generation for module 'DE0_NANO_SOC_QSYS_sw'
2103
   DE0_NANO_SOC_QSYS" instantiated altera_avalon_pio "sw"]]>
2104
  
2105
 
2106
 
2107
   path="submodules/"
2108
   parameterizationKey="altera_mm_interconnect:14.0:AUTO_DEVICE=5CSEMA4U23C6,AUTO_DEVICE_FAMILY=Cyclone V,COMPOSE_CONTENTS=add_instance {nios2_qsys_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_jtag_debug_module_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sysid_qsys_control_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READ} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {adc_ltc2308_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_W} {16};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READ} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sw_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {sw_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sw_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {sw_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sw_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sw_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sw_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sw_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sw_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_READ} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {sw_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {sw_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sw_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sw_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sw_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {sw_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sw_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sw_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sw_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sw_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sw_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_qsys_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ADDR_MAP} {<?xml version="1.0" encoding="UTF-8"?>
2109
<address_map>
2110
 <slave
2111
   id="2"
2112
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
2113
   start="0x0000000000080800"
2114
   end="0x00000000000081000"
2115
   responds="1"
2116
   user_default="0" />
2117
 <slave
2118
   id="3"
2119
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
2120
   start="0x0000000000040000"
2121
   end="0x00000000000080000"
2122
   responds="1"
2123
   user_default="0" />
2124
</address_map>
2125
};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_agent} {ADDR_MAP} {<?xml version="1.0" encoding="UTF-8"?>
2126
<address_map>
2127
 <slave
2128
   id="3"
2129
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
2130
   start="0x0000000000040000"
2131
   end="0x00000000000080000"
2132
   responds="1"
2133
   user_default="0" />
2134
 <slave
2135
   id="2"
2136
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
2137
   start="0x0000000000080800"
2138
   end="0x00000000000081000"
2139
   responds="1"
2140
   user_default="0" />
2141
 <slave
2142
   id="5"
2143
   name="sysid_qsys_control_slave_translator.avalon_universal_slave_0"
2144
   start="0x0000000000081008"
2145
   end="0x00000000000081010"
2146
   responds="1"
2147
   user_default="0" />
2148
 <slave
2149
   id="1"
2150
   name="jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0"
2151
   start="0x0000000000081000"
2152
   end="0x00000000000081008"
2153
   responds="1"
2154
   user_default="0" />
2155
 <slave
2156
   id="0"
2157
   name="adc_ltc2308_slave_translator.avalon_universal_slave_0"
2158
   start="0x0000000000081010"
2159
   end="0x00000000000081018"
2160
   responds="1"
2161
   user_default="0" />
2162
 <slave
2163
   id="4"
2164
   name="sw_s1_translator.avalon_universal_slave_0"
2165
   start="0x0000000000000000"
2166
   end="0x00000000000000010"
2167
   responds="1"
2168
   user_default="0" />
2169
</address_map>
2170
};set_instance_parameter_value {nios2_qsys_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_qsys_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ID} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sysid_qsys_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sysid_qsys_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ID} {5};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_WRITERESPONSE} {0};add_instance {sysid_qsys_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {adc_ltc2308_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {adc_ltc2308_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {adc_ltc2308_slave_agent} {ID} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_WRITERESPONSE} {0};add_instance {adc_ltc2308_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sw_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sw_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sw_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sw_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sw_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sw_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sw_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sw_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sw_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sw_s1_agent} {ID} {4};set_instance_parameter_value {sw_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_agent} {USE_WRITERESPONSE} {0};add_instance {sw_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 2 };set_instance_parameter_value {router} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {96};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {4 3 2 1 5 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {100000 000010 000001 001000 000100 010000 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both both both read both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x40000 0x80800 0x81000 0x81008 0x81010 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x10 0x80000 0x81000 0x81008 0x81010 0x81018 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {96};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {3};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {96};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {96};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {96};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {96};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {55};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_006} {ST_DATA_W} {96};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {55};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_007} {ST_DATA_W} {96};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {nios2_qsys_instruction_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {REORDER} {0};add_instance {nios2_qsys_data_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_qsys_reset_n_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {onchip_memory2_reset1_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {pll_sys_outclk0_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_qsys_instruction_master_translator.avalon_universal_master_0} {nios2_qsys_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_data_master_translator.avalon_universal_master_0} {nios2_qsys_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.m0} {nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.rf_source} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent_rsp_fifo.out} {nios2_qsys_jtag_debug_module_agent.rf_sink} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent.rdata_fifo_src} {nios2_qsys_jtag_debug_module_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {nios2_qsys_jtag_debug_module_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/nios2_qsys_jtag_debug_module_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {sysid_qsys_control_slave_agent.m0} {sysid_qsys_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sysid_qsys_control_slave_agent.rf_source} {sysid_qsys_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent_rsp_fifo.out} {sysid_qsys_control_slave_agent.rf_sink} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent.rdata_fifo_src} {sysid_qsys_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {sysid_qsys_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/sysid_qsys_control_slave_agent.cp} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {adc_ltc2308_slave_agent.m0} {adc_ltc2308_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {adc_ltc2308_slave_agent.rf_source} {adc_ltc2308_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {adc_ltc2308_slave_agent_rsp_fifo.out} {adc_ltc2308_slave_agent.rf_sink} {avalon_streaming};add_connection {adc_ltc2308_slave_agent.rdata_fifo_src} {adc_ltc2308_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {adc_ltc2308_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/adc_ltc2308_slave_agent.cp} {qsys_mm.command};add_connection {sw_s1_agent.m0} {sw_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sw_s1_agent.rf_source} {sw_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sw_s1_agent_rsp_fifo.out} {sw_s1_agent.rf_sink} {avalon_streaming};add_connection {sw_s1_agent.rdata_fifo_src} {sw_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {sw_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/sw_s1_agent.cp} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_agent.cp/router.sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {nios2_qsys_jtag_debug_module_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_jtag_debug_module_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {sysid_qsys_control_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {sysid_qsys_control_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {adc_ltc2308_slave_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {adc_ltc2308_slave_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {sw_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {sw_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {router.src} {nios2_qsys_instruction_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/nios2_qsys_instruction_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {nios2_qsys_instruction_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_qsys_instruction_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.rsp_src} {nios2_qsys_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.rsp_src/nios2_qsys_instruction_master_agent.rp} {qsys_mm.response};add_connection {router_001.src} {nios2_qsys_data_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router_001.src/nios2_qsys_data_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_limiter.cmd_src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.cmd_src/cmd_demux_001.sink} {qsys_mm.command};add_connection {rsp_mux_001.src} {nios2_qsys_data_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_qsys_data_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_data_master_limiter.rsp_src} {nios2_qsys_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.rsp_src/nios2_qsys_data_master_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux_001.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux_001.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink5} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {nios2_qsys_data_master_limiter.cmd_valid} {cmd_demux_001.sink_valid} {avalon_streaming};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_reset_n_reset_bridge.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_reset1_reset_bridge.clk} {clock};add_interface {pll_sys_outclk0} {clock} {slave};set_interface_property {pll_sys_outclk0} {EXPORT_OF} {pll_sys_outclk0_clock_bridge.in_clk};add_interface {nios2_qsys_reset_n_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_qsys_reset_n_reset_bridge_in_reset} {EXPORT_OF} {nios2_qsys_reset_n_reset_bridge.in_reset};add_interface {onchip_memory2_reset1_reset_bridge_in_reset} {reset} {slave};set_interface_property {onchip_memory2_reset1_reset_bridge_in_reset} {EXPORT_OF} {onchip_memory2_reset1_reset_bridge.in_reset};add_interface {nios2_qsys_data_master} {avalon} {slave};set_interface_property {nios2_qsys_data_master} {EXPORT_OF} {nios2_qsys_data_master_translator.avalon_anti_master_0};add_interface {nios2_qsys_instruction_master} {avalon} {slave};set_interface_property {nios2_qsys_instruction_master} {EXPORT_OF} {nios2_qsys_instruction_master_translator.avalon_anti_master_0};add_interface {adc_ltc2308_slave} {avalon} {master};set_interface_property {adc_ltc2308_slave} {EXPORT_OF} {adc_ltc2308_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {nios2_qsys_jtag_debug_module} {avalon} {master};set_interface_property {nios2_qsys_jtag_debug_module} {EXPORT_OF} {nios2_qsys_jtag_debug_module_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {sw_s1} {avalon} {master};set_interface_property {sw_s1} {EXPORT_OF} {sw_s1_translator.avalon_anti_slave_0};add_interface {sysid_qsys_control_slave} {avalon} {master};set_interface_property {sysid_qsys_control_slave} {EXPORT_OF} {sysid_qsys_control_slave_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.adc_ltc2308.slave} {0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.nios2_qsys.data_master} {0};set_module_assignment {interconnect_id.nios2_qsys.instruction_master} {1};set_module_assignment {interconnect_id.nios2_qsys.jtag_debug_module} {2};set_module_assignment {interconnect_id.onchip_memory2.s1} {3};set_module_assignment {interconnect_id.sw.s1} {4};set_module_assignment {interconnect_id.sysid_qsys.control_slave} {5};(altera_merlin_master_translator:14.0:AUTO_CLK_CLOCK_RATE=100000000,AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=1,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=1,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0)(altera_merlin_master_translator:14.0:AUTO_CLK_CLOCK_RATE=100000000,AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=1,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=9,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=16,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=1,AV_READ_WAIT=0,AV_READ_WAIT_CYCLES=0,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=0,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=1,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=16,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=2,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=1,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=1,USE_DEBUGACCESS=0,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=0,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=0,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0)(altera_merlin_master_agent:14.0:ADDR_MAP=<?xml version="1.0" encoding="UTF-8"?>
2171
<address_map>
2172
 <slave
2173
   id="2"
2174
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
2175
   start="0x0000000000080800"
2176
   end="0x00000000000081000"
2177
   responds="1"
2178
   user_default="0" />
2179
 <slave
2180
   id="3"
2181
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
2182
   start="0x0000000000040000"
2183
   end="0x00000000000080000"
2184
   responds="1"
2185
   user_default="0" />
2186
</address_map>
2187
,AUTO_CLK_CLOCK_RATE=100000000,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=1,BURSTWRAP_VALUE=3,CACHE_VALUE=0,ID=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_merlin_master_agent:14.0:ADDR_MAP=<?xml version="1.0" encoding="UTF-8"?>
2188
<address_map>
2189
 <slave
2190
   id="3"
2191
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
2192
   start="0x0000000000040000"
2193
   end="0x00000000000080000"
2194
   responds="1"
2195
   user_default="0" />
2196
 <slave
2197
   id="2"
2198
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
2199
   start="0x0000000000080800"
2200
   end="0x00000000000081000"
2201
   responds="1"
2202
   user_default="0" />
2203
 <slave
2204
   id="5"
2205
   name="sysid_qsys_control_slave_translator.avalon_universal_slave_0"
2206
   start="0x0000000000081008"
2207
   end="0x00000000000081010"
2208
   responds="1"
2209
   user_default="0" />
2210
 <slave
2211
   id="1"
2212
   name="jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0"
2213
   start="0x0000000000081000"
2214
   end="0x00000000000081008"
2215
   responds="1"
2216
   user_default="0" />
2217
 <slave
2218
   id="0"
2219
   name="adc_ltc2308_slave_translator.avalon_universal_slave_0"
2220
   start="0x0000000000081010"
2221
   end="0x00000000000081018"
2222
   responds="1"
2223
   user_default="0" />
2224
 <slave
2225
   id="4"
2226
   name="sw_s1_translator.avalon_universal_slave_0"
2227
   start="0x0000000000000000"
2228
   end="0x00000000000000010"
2229
   responds="1"
2230
   user_default="0" />
2231
</address_map>
2232
,AUTO_CLK_CLOCK_RATE=100000000,AV_BURSTBOUNDARIES=1,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,BURSTWRAP_VALUE=7,CACHE_VALUE=0,ID=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=2,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=3,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=5,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=1,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=0,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=4,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0)(altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=10,01,DECODER_TYPE=0,DEFAULT_CHANNEL=1,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=3,2,END_ADDRESS=0x80000,0x81000,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=3:10:0x40000:0x80000:both:1:0:0:1,2:01:0x80800:0x81000:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=100000,000010,000001,001000,000100,010000,DECODER_TYPE=0,DEFAULT_CHANNEL=1,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=4,3,2,1,5,0,END_ADDRESS=0x10,0x80000,0x81000,0x81008,0x81010,0x81018,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,0,0,SLAVES_INFO=4:100000:0x0:0x10:both:1:0:0:1,3:000010:0x40000:0x80000:both:1:0:0:1,2:000001:0x80800:0x81000:both:1:0:0:1,1:001000:0x81000:0x81008:both:1:0:0:1,5:000100:0x81008:0x81010:read:1:0:0:1,0:010000:0x81010:0x81018:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x40000,0x80800,0x81000,0x81008,0x81010,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both,both,both,read,both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=1,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=1,0,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=1:01:0x0:0x0:read:1:0:0:1,0:10:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=read,both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=1,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=1,0,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=1:01:0x0:0x0:read:1:0:0:1,0:10:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=read,both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both)(altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both)(altera_merlin_traffic_limiter:14.0:AUTO_CLK_CLOCK_RATE=100000000,ENFORCE_ORDER=1,MAX_BURST_LENGTH=1,MAX_OUTSTANDING_RESPONSES=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PIPELINED=0,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_POSTED=57,PKT_TRANS_WRITE=58,PREVENT_HAZARDS=0,REORDER=0,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6)(altera_merlin_traffic_limiter:14.0:AUTO_CLK_CLOCK_RATE=100000000,ENFORCE_ORDER=1,MAX_BURST_LENGTH=1,MAX_OUTSTANDING_RESPONSES=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PIPELINED=0,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_POSTED=57,PKT_TRANS_WRITE=58,PREVENT_HAZARDS=0,REORDER=0,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=6,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=6,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0)(altera_reset_bridge:14.0:ACTIVE_LOW_RESET=0,AUTO_CLK_CLOCK_RATE=100000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert)(altera_reset_bridge:14.0:ACTIVE_LOW_RESET=0,AUTO_CLK_CLOCK_RATE=100000000,NUM_RESET_OUTPUTS=1,SYNCHRONOUS_EDGES=deassert)(altera_clock_bridge:14.0:DERIVED_CLOCK_RATE=0,EXPLICIT_CLOCK_RATE=100000000,NUM_CLOCK_OUTPUTS=1)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon:14.0:arbitrationPriority=1,baseAddress=0x0000,defaultConnection=false)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(avalon_streaming:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(reset:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)(clock:14.0:)"
2233
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0"
2234
   kind="altera_mm_interconnect"
2235
   version="14.0"
2236
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0">
2237
  
2238
  
2239
  
2240
     name="COMPOSE_CONTENTS"
2241
     value="add_instance {nios2_qsys_instruction_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_data_master_translator} {altera_merlin_master_translator};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_CLKEN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READDATAVALID} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESS_SYMBOLS} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {64};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_data_master_translator} {AV_ALWAYSBURSTMAXBURST} {0};add_instance {nios2_qsys_jtag_debug_module_translator} {altera_merlin_slave_translator};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_W} {9};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_DATA_W} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READLATENCY} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READ} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_ADDRESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_LOCK} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_DEBUGACCESS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_REGISTERINCOMINGSIGNALS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {onchip_memory2_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_W} {16};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READLATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_READ_WAIT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READ} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BYTEENABLE} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_AV_CLKEN} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {onchip_memory2_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sysid_qsys_control_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEDATA} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READ} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_CHIPSELECT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sysid_qsys_control_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {jtag_uart_avalon_jtag_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READ} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WAITREQUEST} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {adc_ltc2308_slave_translator} {altera_merlin_slave_translator};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_W} {16};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_DATA_W} {32};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READLATENCY} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READ} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITE} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_ADDRESS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_LOCK} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {adc_ltc2308_slave_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {sw_s1_translator} {altera_merlin_slave_translator};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_W} {2};set_instance_parameter_value {sw_s1_translator} {AV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {UAV_DATA_W} {32};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_W} {1};set_instance_parameter_value {sw_s1_translator} {AV_BYTEENABLE_W} {1};set_instance_parameter_value {sw_s1_translator} {UAV_BYTEENABLE_W} {4};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESS_W} {20};set_instance_parameter_value {sw_s1_translator} {UAV_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_translator} {AV_READLATENCY} {0};set_instance_parameter_value {sw_s1_translator} {AV_SETUP_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_WRITE_WAIT} {0};set_instance_parameter_value {sw_s1_translator} {AV_READ_WAIT} {1};set_instance_parameter_value {sw_s1_translator} {AV_DATA_HOLD} {0};set_instance_parameter_value {sw_s1_translator} {AV_TIMING_UNITS} {1};set_instance_parameter_value {sw_s1_translator} {USE_READDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_WRITEDATA} {1};set_instance_parameter_value {sw_s1_translator} {USE_READ} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITE} {1};set_instance_parameter_value {sw_s1_translator} {USE_BEGINBURSTTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BEGINTRANSFER} {0};set_instance_parameter_value {sw_s1_translator} {USE_BYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_CHIPSELECT} {1};set_instance_parameter_value {sw_s1_translator} {USE_ADDRESS} {1};set_instance_parameter_value {sw_s1_translator} {USE_BURSTCOUNT} {0};set_instance_parameter_value {sw_s1_translator} {USE_READDATAVALID} {0};set_instance_parameter_value {sw_s1_translator} {USE_WAITREQUEST} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITEBYTEENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_LOCK} {0};set_instance_parameter_value {sw_s1_translator} {USE_AV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_UAV_CLKEN} {0};set_instance_parameter_value {sw_s1_translator} {USE_OUTPUTENABLE} {0};set_instance_parameter_value {sw_s1_translator} {USE_DEBUGACCESS} {0};set_instance_parameter_value {sw_s1_translator} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {USE_WRITERESPONSE} {0};set_instance_parameter_value {sw_s1_translator} {AV_SYMBOLS_PER_WORD} {4};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESS_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_translator} {AV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {UAV_CONSTANT_BURST_BEHAVIOR} {0};set_instance_parameter_value {sw_s1_translator} {AV_REQUIRE_UNALIGNED_ADDRESSES} {0};set_instance_parameter_value {sw_s1_translator} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_READ_TRANSACTIONS} {1};set_instance_parameter_value {sw_s1_translator} {AV_MAX_PENDING_WRITE_TRANSACTIONS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {sw_s1_translator} {AV_INTERLEAVEBURSTS} {0};set_instance_parameter_value {sw_s1_translator} {AV_BITS_PER_SYMBOL} {8};set_instance_parameter_value {sw_s1_translator} {AV_ISBIGENDIAN} {0};set_instance_parameter_value {sw_s1_translator} {AV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {UAV_ADDRESSGROUP} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTEROUTGOINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_REGISTERINCOMINGSIGNALS} {0};set_instance_parameter_value {sw_s1_translator} {AV_ALWAYSBURSTMAXBURST} {0};set_instance_parameter_value {sw_s1_translator} {CHIPSELECT_THROUGH_READLATENCY} {0};add_instance {nios2_qsys_instruction_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_LINEWRAPBURSTS} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {AV_BURSTBOUNDARIES} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ADDR_MAP} {<?xml version="1.0" encoding="UTF-8"?>
2242
<address_map>
2243
 <slave
2244
   id="2"
2245
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
2246
   start="0x0000000000080800"
2247
   end="0x00000000000081000"
2248
   responds="1"
2249
   user_default="0" />
2250
 <slave
2251
   id="3"
2252
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
2253
   start="0x0000000000040000"
2254
   end="0x00000000000080000"
2255
   responds="1"
2256
   user_default="0" />
2257
</address_map>
2258
};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {ID} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {BURSTWRAP_VALUE} {3};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_instruction_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_data_master_agent} {altera_merlin_master_agent};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_H} {72};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BURST_TYPE_L} {71};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_TRANS_EXCLUSIVE} {61};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_H} {90};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_CACHE_L} {87};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_H} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_DATA_SIDEBAND_L} {74};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_H} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_QOS_L} {76};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_H} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ADDR_SIDEBAND_L} {73};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_data_master_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {AV_BURSTBOUNDARIES} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_agent} {ADDR_MAP} {<?xml version="1.0" encoding="UTF-8"?>
2259
<address_map>
2260
 <slave
2261
   id="3"
2262
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
2263
   start="0x0000000000040000"
2264
   end="0x00000000000080000"
2265
   responds="1"
2266
   user_default="0" />
2267
 <slave
2268
   id="2"
2269
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
2270
   start="0x0000000000080800"
2271
   end="0x00000000000081000"
2272
   responds="1"
2273
   user_default="0" />
2274
 <slave
2275
   id="5"
2276
   name="sysid_qsys_control_slave_translator.avalon_universal_slave_0"
2277
   start="0x0000000000081008"
2278
   end="0x00000000000081010"
2279
   responds="1"
2280
   user_default="0" />
2281
 <slave
2282
   id="1"
2283
   name="jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0"
2284
   start="0x0000000000081000"
2285
   end="0x00000000000081008"
2286
   responds="1"
2287
   user_default="0" />
2288
 <slave
2289
   id="0"
2290
   name="adc_ltc2308_slave_translator.avalon_universal_slave_0"
2291
   start="0x0000000000081010"
2292
   end="0x00000000000081018"
2293
   responds="1"
2294
   user_default="0" />
2295
 <slave
2296
   id="4"
2297
   name="sw_s1_translator.avalon_universal_slave_0"
2298
   start="0x0000000000000000"
2299
   end="0x00000000000000010"
2300
   responds="1"
2301
   user_default="0" />
2302
</address_map>
2303
};set_instance_parameter_value {nios2_qsys_data_master_agent} {SUPPRESS_0_BYTEEN_RSP} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {ID} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {BURSTWRAP_VALUE} {7};set_instance_parameter_value {nios2_qsys_data_master_agent} {CACHE_VALUE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {SECURE_ACCESS_BIT} {1};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_data_master_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent} {altera_merlin_slave_agent};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_H} {31};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DATA_L} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {ID} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent} {USE_WRITERESPONSE} {0};add_instance {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {nios2_qsys_jtag_debug_module_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {onchip_memory2_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {onchip_memory2_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {onchip_memory2_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {onchip_memory2_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {onchip_memory2_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {onchip_memory2_s1_agent} {ID} {3};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {onchip_memory2_s1_agent} {USE_WRITERESPONSE} {0};add_instance {onchip_memory2_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {onchip_memory2_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sysid_qsys_control_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sysid_qsys_control_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sysid_qsys_control_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sysid_qsys_control_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sysid_qsys_control_slave_agent} {ID} {5};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent} {USE_WRITERESPONSE} {0};add_instance {sysid_qsys_control_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sysid_qsys_control_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {jtag_uart_avalon_jtag_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {ID} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent} {USE_WRITERESPONSE} {0};add_instance {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {jtag_uart_avalon_jtag_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {adc_ltc2308_slave_agent} {altera_merlin_slave_agent};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_H} {31};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DATA_L} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {adc_ltc2308_slave_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {adc_ltc2308_slave_agent} {ST_DATA_W} {96};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {adc_ltc2308_slave_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {adc_ltc2308_slave_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {adc_ltc2308_slave_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {adc_ltc2308_slave_agent} {ID} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {adc_ltc2308_slave_agent} {USE_WRITERESPONSE} {0};add_instance {adc_ltc2308_slave_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {adc_ltc2308_slave_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {sw_s1_agent} {altera_merlin_slave_agent};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_H} {31};set_instance_parameter_value {sw_s1_agent} {PKT_DATA_L} {0};set_instance_parameter_value {sw_s1_agent} {PKT_BEGIN_BURST} {75};set_instance_parameter_value {sw_s1_agent} {PKT_SYMBOL_W} {8};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_H} {35};set_instance_parameter_value {sw_s1_agent} {PKT_BYTEEN_L} {32};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_H} {55};set_instance_parameter_value {sw_s1_agent} {PKT_ADDR_L} {36};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_COMPRESSED_READ} {56};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_READ} {59};set_instance_parameter_value {sw_s1_agent} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_H} {79};set_instance_parameter_value {sw_s1_agent} {PKT_SRC_ID_L} {77};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_H} {82};set_instance_parameter_value {sw_s1_agent} {PKT_DEST_ID_L} {80};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_H} {67};set_instance_parameter_value {sw_s1_agent} {PKT_BURSTWRAP_L} {65};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {sw_s1_agent} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_H} {86};set_instance_parameter_value {sw_s1_agent} {PKT_PROTECTION_L} {84};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_H} {92};set_instance_parameter_value {sw_s1_agent} {PKT_RESPONSE_STATUS_L} {91};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_H} {70};set_instance_parameter_value {sw_s1_agent} {PKT_BURST_SIZE_L} {68};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_L} {93};set_instance_parameter_value {sw_s1_agent} {PKT_ORI_BURST_SIZE_H} {95};set_instance_parameter_value {sw_s1_agent} {ST_CHANNEL_W} {6};set_instance_parameter_value {sw_s1_agent} {ST_DATA_W} {96};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_SYMBOLS} {0};set_instance_parameter_value {sw_s1_agent} {AVS_BURSTCOUNT_W} {3};set_instance_parameter_value {sw_s1_agent} {AV_LINEWRAPBURSTS} {0};set_instance_parameter_value {sw_s1_agent} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {sw_s1_agent} {SUPPRESS_0_BYTEEN_CMD} {0};set_instance_parameter_value {sw_s1_agent} {PREVENT_FIFO_OVERFLOW} {1};set_instance_parameter_value {sw_s1_agent} {MAX_BYTE_CNT} {4};set_instance_parameter_value {sw_s1_agent} {MAX_BURSTWRAP} {7};set_instance_parameter_value {sw_s1_agent} {ID} {4};set_instance_parameter_value {sw_s1_agent} {USE_READRESPONSE} {0};set_instance_parameter_value {sw_s1_agent} {USE_WRITERESPONSE} {0};add_instance {sw_s1_agent_rsp_fifo} {altera_avalon_sc_fifo};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {SYMBOLS_PER_BEAT} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {BITS_PER_SYMBOL} {97};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {FIFO_DEPTH} {2};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {CHANNEL_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ERROR_WIDTH} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_PACKETS} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_FILL_LEVEL} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EMPTY_LATENCY} {1};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_MEMORY_BLOCKS} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_STORE_FORWARD} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_FULL_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {USE_ALMOST_EMPTY_IF} {0};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {ENABLE_EXPLICIT_MAXCHANNEL} {false};set_instance_parameter_value {sw_s1_agent_rsp_fifo} {EXPLICIT_MAXCHANNEL} {0};add_instance {router} {altera_merlin_router};set_instance_parameter_value {router} {DESTINATION_ID} {3 2 };set_instance_parameter_value {router} {CHANNEL_ID} {10 01 };set_instance_parameter_value {router} {TYPE_OF_TRANSACTION} {both both };set_instance_parameter_value {router} {START_ADDRESS} {0x40000 0x80800 };set_instance_parameter_value {router} {END_ADDRESS} {0x80000 0x81000 };set_instance_parameter_value {router} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router} {SPAN_OFFSET} {};set_instance_parameter_value {router} {PKT_ADDR_H} {55};set_instance_parameter_value {router} {PKT_ADDR_L} {36};set_instance_parameter_value {router} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router} {PKT_TRANS_READ} {59};set_instance_parameter_value {router} {ST_DATA_W} {96};set_instance_parameter_value {router} {ST_CHANNEL_W} {6};set_instance_parameter_value {router} {DECODER_TYPE} {0};set_instance_parameter_value {router} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router} {DEFAULT_DESTID} {3};set_instance_parameter_value {router} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router} {MEMORY_ALIASING_DECODE} {0};add_instance {router_001} {altera_merlin_router};set_instance_parameter_value {router_001} {DESTINATION_ID} {4 3 2 1 5 0 };set_instance_parameter_value {router_001} {CHANNEL_ID} {100000 000010 000001 001000 000100 010000 };set_instance_parameter_value {router_001} {TYPE_OF_TRANSACTION} {both both both both read both };set_instance_parameter_value {router_001} {START_ADDRESS} {0x0 0x40000 0x80800 0x81000 0x81008 0x81010 };set_instance_parameter_value {router_001} {END_ADDRESS} {0x10 0x80000 0x81000 0x81008 0x81010 0x81018 };set_instance_parameter_value {router_001} {NON_SECURED_TAG} {1 1 1 1 1 1 };set_instance_parameter_value {router_001} {SECURED_RANGE_PAIRS} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SECURED_RANGE_LIST} {0 0 0 0 0 0 };set_instance_parameter_value {router_001} {SPAN_OFFSET} {};set_instance_parameter_value {router_001} {PKT_ADDR_H} {55};set_instance_parameter_value {router_001} {PKT_ADDR_L} {36};set_instance_parameter_value {router_001} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_001} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_001} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_001} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_001} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_001} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_001} {ST_DATA_W} {96};set_instance_parameter_value {router_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_001} {DECODER_TYPE} {0};set_instance_parameter_value {router_001} {DEFAULT_CHANNEL} {1};set_instance_parameter_value {router_001} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_001} {DEFAULT_DESTID} {3};set_instance_parameter_value {router_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_001} {MEMORY_ALIASING_DECODE} {0};add_instance {router_002} {altera_merlin_router};set_instance_parameter_value {router_002} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_002} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_002} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_002} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_002} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_002} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_002} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_002} {SPAN_OFFSET} {};set_instance_parameter_value {router_002} {PKT_ADDR_H} {55};set_instance_parameter_value {router_002} {PKT_ADDR_L} {36};set_instance_parameter_value {router_002} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_002} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_002} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_002} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_002} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_002} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_002} {ST_DATA_W} {96};set_instance_parameter_value {router_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_002} {DECODER_TYPE} {1};set_instance_parameter_value {router_002} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_002} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_002} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_002} {MEMORY_ALIASING_DECODE} {0};add_instance {router_003} {altera_merlin_router};set_instance_parameter_value {router_003} {DESTINATION_ID} {1 0 };set_instance_parameter_value {router_003} {CHANNEL_ID} {01 10 };set_instance_parameter_value {router_003} {TYPE_OF_TRANSACTION} {read both };set_instance_parameter_value {router_003} {START_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {END_ADDRESS} {0x0 0x0 };set_instance_parameter_value {router_003} {NON_SECURED_TAG} {1 1 };set_instance_parameter_value {router_003} {SECURED_RANGE_PAIRS} {0 0 };set_instance_parameter_value {router_003} {SECURED_RANGE_LIST} {0 0 };set_instance_parameter_value {router_003} {SPAN_OFFSET} {};set_instance_parameter_value {router_003} {PKT_ADDR_H} {55};set_instance_parameter_value {router_003} {PKT_ADDR_L} {36};set_instance_parameter_value {router_003} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_003} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_003} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_003} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_003} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_003} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_003} {ST_DATA_W} {96};set_instance_parameter_value {router_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_003} {DECODER_TYPE} {1};set_instance_parameter_value {router_003} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_003} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_003} {DEFAULT_DESTID} {1};set_instance_parameter_value {router_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_003} {MEMORY_ALIASING_DECODE} {0};add_instance {router_004} {altera_merlin_router};set_instance_parameter_value {router_004} {DESTINATION_ID} {0 };set_instance_parameter_value {router_004} {CHANNEL_ID} {1 };set_instance_parameter_value {router_004} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_004} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_004} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_004} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_004} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_004} {SPAN_OFFSET} {};set_instance_parameter_value {router_004} {PKT_ADDR_H} {55};set_instance_parameter_value {router_004} {PKT_ADDR_L} {36};set_instance_parameter_value {router_004} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_004} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_004} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_004} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_004} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_004} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_004} {ST_DATA_W} {96};set_instance_parameter_value {router_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_004} {DECODER_TYPE} {1};set_instance_parameter_value {router_004} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_004} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_004} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_004} {MEMORY_ALIASING_DECODE} {0};add_instance {router_005} {altera_merlin_router};set_instance_parameter_value {router_005} {DESTINATION_ID} {0 };set_instance_parameter_value {router_005} {CHANNEL_ID} {1 };set_instance_parameter_value {router_005} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_005} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_005} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_005} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_005} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_005} {SPAN_OFFSET} {};set_instance_parameter_value {router_005} {PKT_ADDR_H} {55};set_instance_parameter_value {router_005} {PKT_ADDR_L} {36};set_instance_parameter_value {router_005} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_005} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_005} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_005} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_005} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_005} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_005} {ST_DATA_W} {96};set_instance_parameter_value {router_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_005} {DECODER_TYPE} {1};set_instance_parameter_value {router_005} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_005} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_005} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_005} {MEMORY_ALIASING_DECODE} {0};add_instance {router_006} {altera_merlin_router};set_instance_parameter_value {router_006} {DESTINATION_ID} {0 };set_instance_parameter_value {router_006} {CHANNEL_ID} {1 };set_instance_parameter_value {router_006} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_006} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_006} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_006} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_006} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_006} {SPAN_OFFSET} {};set_instance_parameter_value {router_006} {PKT_ADDR_H} {55};set_instance_parameter_value {router_006} {PKT_ADDR_L} {36};set_instance_parameter_value {router_006} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_006} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_006} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_006} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_006} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_006} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_006} {ST_DATA_W} {96};set_instance_parameter_value {router_006} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_006} {DECODER_TYPE} {1};set_instance_parameter_value {router_006} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_006} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_006} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_006} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_006} {MEMORY_ALIASING_DECODE} {0};add_instance {router_007} {altera_merlin_router};set_instance_parameter_value {router_007} {DESTINATION_ID} {0 };set_instance_parameter_value {router_007} {CHANNEL_ID} {1 };set_instance_parameter_value {router_007} {TYPE_OF_TRANSACTION} {both };set_instance_parameter_value {router_007} {START_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {END_ADDRESS} {0x0 };set_instance_parameter_value {router_007} {NON_SECURED_TAG} {1 };set_instance_parameter_value {router_007} {SECURED_RANGE_PAIRS} {0 };set_instance_parameter_value {router_007} {SECURED_RANGE_LIST} {0 };set_instance_parameter_value {router_007} {SPAN_OFFSET} {};set_instance_parameter_value {router_007} {PKT_ADDR_H} {55};set_instance_parameter_value {router_007} {PKT_ADDR_L} {36};set_instance_parameter_value {router_007} {PKT_PROTECTION_H} {86};set_instance_parameter_value {router_007} {PKT_PROTECTION_L} {84};set_instance_parameter_value {router_007} {PKT_DEST_ID_H} {82};set_instance_parameter_value {router_007} {PKT_DEST_ID_L} {80};set_instance_parameter_value {router_007} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {router_007} {PKT_TRANS_READ} {59};set_instance_parameter_value {router_007} {ST_DATA_W} {96};set_instance_parameter_value {router_007} {ST_CHANNEL_W} {6};set_instance_parameter_value {router_007} {DECODER_TYPE} {1};set_instance_parameter_value {router_007} {DEFAULT_CHANNEL} {0};set_instance_parameter_value {router_007} {DEFAULT_WR_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_RD_CHANNEL} {-1};set_instance_parameter_value {router_007} {DEFAULT_DESTID} {0};set_instance_parameter_value {router_007} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {router_007} {MEMORY_ALIASING_DECODE} {0};add_instance {nios2_qsys_instruction_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_instruction_master_limiter} {REORDER} {0};add_instance {nios2_qsys_data_master_limiter} {altera_merlin_traffic_limiter};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_BURST_LENGTH} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_H} {82};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_DEST_ID_L} {80};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_H} {79};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_SRC_ID_L} {77};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_POSTED} {57};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_TRANS_WRITE} {58};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MAX_OUTSTANDING_RESPONSES} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PIPELINED} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_DATA_W} {96};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ST_CHANNEL_W} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {VALID_WIDTH} {6};set_instance_parameter_value {nios2_qsys_data_master_limiter} {ENFORCE_ORDER} {1};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PREVENT_HAZARDS} {0};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_H} {64};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTE_CNT_L} {62};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_H} {35};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_BYTEEN_L} {32};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_H} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {PKT_THREAD_ID_L} {83};set_instance_parameter_value {nios2_qsys_data_master_limiter} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};set_instance_parameter_value {nios2_qsys_data_master_limiter} {REORDER} {0};add_instance {cmd_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {cmd_demux} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {cmd_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_demux_001} {NUM_OUTPUTS} {6};set_instance_parameter_value {cmd_demux_001} {VALID_WIDTH} {6};set_instance_parameter_value {cmd_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_001} {NUM_INPUTS} {2};set_instance_parameter_value {cmd_mux_001} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_001} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {cmd_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_002} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_002} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_002} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_002} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_002} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_002} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_002} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_003} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_003} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_003} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_003} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_003} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_003} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_003} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_004} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_004} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_004} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_004} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_004} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_004} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_004} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {cmd_mux_005} {altera_merlin_multiplexer};set_instance_parameter_value {cmd_mux_005} {ST_DATA_W} {96};set_instance_parameter_value {cmd_mux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {cmd_mux_005} {NUM_INPUTS} {1};set_instance_parameter_value {cmd_mux_005} {PIPELINE_ARB} {1};set_instance_parameter_value {cmd_mux_005} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {cmd_mux_005} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SCHEME} {round-robin};set_instance_parameter_value {cmd_mux_005} {ARBITRATION_SHARES} {1 };set_instance_parameter_value {cmd_mux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_001} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_001} {NUM_OUTPUTS} {2};set_instance_parameter_value {rsp_demux_001} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_002} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_002} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_002} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_002} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_002} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_002} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_003} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_003} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_003} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_003} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_003} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_003} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_004} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_004} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_004} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_004} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_004} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_004} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_demux_005} {altera_merlin_demultiplexer};set_instance_parameter_value {rsp_demux_005} {ST_DATA_W} {96};set_instance_parameter_value {rsp_demux_005} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_demux_005} {NUM_OUTPUTS} {1};set_instance_parameter_value {rsp_demux_005} {VALID_WIDTH} {1};set_instance_parameter_value {rsp_demux_005} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux} {NUM_INPUTS} {2};set_instance_parameter_value {rsp_mux} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux} {ARBITRATION_SHARES} {1 1 };set_instance_parameter_value {rsp_mux} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {rsp_mux_001} {altera_merlin_multiplexer};set_instance_parameter_value {rsp_mux_001} {ST_DATA_W} {96};set_instance_parameter_value {rsp_mux_001} {ST_CHANNEL_W} {6};set_instance_parameter_value {rsp_mux_001} {NUM_INPUTS} {6};set_instance_parameter_value {rsp_mux_001} {PIPELINE_ARB} {0};set_instance_parameter_value {rsp_mux_001} {USE_EXTERNAL_ARB} {0};set_instance_parameter_value {rsp_mux_001} {PKT_TRANS_LOCK} {60};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SCHEME} {no-arb};set_instance_parameter_value {rsp_mux_001} {ARBITRATION_SHARES} {1 1 1 1 1 1 };set_instance_parameter_value {rsp_mux_001} {MERLIN_PACKET_FORMAT} {ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)};add_instance {nios2_qsys_reset_n_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {nios2_qsys_reset_n_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {onchip_memory2_reset1_reset_bridge} {altera_reset_bridge};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {ACTIVE_LOW_RESET} {0};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {SYNCHRONOUS_EDGES} {deassert};set_instance_parameter_value {onchip_memory2_reset1_reset_bridge} {NUM_RESET_OUTPUTS} {1};add_instance {pll_sys_outclk0_clock_bridge} {altera_clock_bridge};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {EXPLICIT_CLOCK_RATE} {100000000};set_instance_parameter_value {pll_sys_outclk0_clock_bridge} {NUM_CLOCK_OUTPUTS} {1};add_connection {nios2_qsys_instruction_master_translator.avalon_universal_master_0} {nios2_qsys_instruction_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_instruction_master_translator.avalon_universal_master_0/nios2_qsys_instruction_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_data_master_translator.avalon_universal_master_0} {nios2_qsys_data_master_agent.av} {avalon};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_data_master_translator.avalon_universal_master_0/nios2_qsys_data_master_agent.av} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.m0} {nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {nios2_qsys_jtag_debug_module_agent.m0/nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {nios2_qsys_jtag_debug_module_agent.rf_source} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.in} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent_rsp_fifo.out} {nios2_qsys_jtag_debug_module_agent.rf_sink} {avalon_streaming};add_connection {nios2_qsys_jtag_debug_module_agent.rdata_fifo_src} {nios2_qsys_jtag_debug_module_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux.src} {nios2_qsys_jtag_debug_module_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux.src/nios2_qsys_jtag_debug_module_agent.cp} {qsys_mm.command};add_connection {onchip_memory2_s1_agent.m0} {onchip_memory2_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {onchip_memory2_s1_agent.m0/onchip_memory2_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {onchip_memory2_s1_agent.rf_source} {onchip_memory2_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {onchip_memory2_s1_agent_rsp_fifo.out} {onchip_memory2_s1_agent.rf_sink} {avalon_streaming};add_connection {onchip_memory2_s1_agent.rdata_fifo_src} {onchip_memory2_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_001.src} {onchip_memory2_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_001.src/onchip_memory2_s1_agent.cp} {qsys_mm.command};add_connection {sysid_qsys_control_slave_agent.m0} {sysid_qsys_control_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sysid_qsys_control_slave_agent.m0/sysid_qsys_control_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sysid_qsys_control_slave_agent.rf_source} {sysid_qsys_control_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent_rsp_fifo.out} {sysid_qsys_control_slave_agent.rf_sink} {avalon_streaming};add_connection {sysid_qsys_control_slave_agent.rdata_fifo_src} {sysid_qsys_control_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_002.src} {sysid_qsys_control_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_002.src/sysid_qsys_control_slave_agent.cp} {qsys_mm.command};add_connection {jtag_uart_avalon_jtag_slave_agent.m0} {jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {jtag_uart_avalon_jtag_slave_agent.m0/jtag_uart_avalon_jtag_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {jtag_uart_avalon_jtag_slave_agent.rf_source} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.out} {jtag_uart_avalon_jtag_slave_agent.rf_sink} {avalon_streaming};add_connection {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_src} {jtag_uart_avalon_jtag_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_003.src} {jtag_uart_avalon_jtag_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_003.src/jtag_uart_avalon_jtag_slave_agent.cp} {qsys_mm.command};add_connection {adc_ltc2308_slave_agent.m0} {adc_ltc2308_slave_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {adc_ltc2308_slave_agent.m0/adc_ltc2308_slave_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {adc_ltc2308_slave_agent.rf_source} {adc_ltc2308_slave_agent_rsp_fifo.in} {avalon_streaming};add_connection {adc_ltc2308_slave_agent_rsp_fifo.out} {adc_ltc2308_slave_agent.rf_sink} {avalon_streaming};add_connection {adc_ltc2308_slave_agent.rdata_fifo_src} {adc_ltc2308_slave_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_004.src} {adc_ltc2308_slave_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_004.src/adc_ltc2308_slave_agent.cp} {qsys_mm.command};add_connection {sw_s1_agent.m0} {sw_s1_translator.avalon_universal_slave_0} {avalon};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {arbitrationPriority} {1};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {baseAddress} {0x0000};set_connection_parameter_value {sw_s1_agent.m0/sw_s1_translator.avalon_universal_slave_0} {defaultConnection} {false};add_connection {sw_s1_agent.rf_source} {sw_s1_agent_rsp_fifo.in} {avalon_streaming};add_connection {sw_s1_agent_rsp_fifo.out} {sw_s1_agent.rf_sink} {avalon_streaming};add_connection {sw_s1_agent.rdata_fifo_src} {sw_s1_agent.rdata_fifo_sink} {avalon_streaming};add_connection {cmd_mux_005.src} {sw_s1_agent.cp} {avalon_streaming};preview_set_connection_tag {cmd_mux_005.src/sw_s1_agent.cp} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_agent.cp} {router.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_agent.cp/router.sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_agent.cp} {router_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_agent.cp/router_001.sink} {qsys_mm.command};add_connection {nios2_qsys_jtag_debug_module_agent.rp} {router_002.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_jtag_debug_module_agent.rp/router_002.sink} {qsys_mm.response};add_connection {router_002.src} {rsp_demux.sink} {avalon_streaming};preview_set_connection_tag {router_002.src/rsp_demux.sink} {qsys_mm.response};add_connection {onchip_memory2_s1_agent.rp} {router_003.sink} {avalon_streaming};preview_set_connection_tag {onchip_memory2_s1_agent.rp/router_003.sink} {qsys_mm.response};add_connection {router_003.src} {rsp_demux_001.sink} {avalon_streaming};preview_set_connection_tag {router_003.src/rsp_demux_001.sink} {qsys_mm.response};add_connection {sysid_qsys_control_slave_agent.rp} {router_004.sink} {avalon_streaming};preview_set_connection_tag {sysid_qsys_control_slave_agent.rp/router_004.sink} {qsys_mm.response};add_connection {router_004.src} {rsp_demux_002.sink} {avalon_streaming};preview_set_connection_tag {router_004.src/rsp_demux_002.sink} {qsys_mm.response};add_connection {jtag_uart_avalon_jtag_slave_agent.rp} {router_005.sink} {avalon_streaming};preview_set_connection_tag {jtag_uart_avalon_jtag_slave_agent.rp/router_005.sink} {qsys_mm.response};add_connection {router_005.src} {rsp_demux_003.sink} {avalon_streaming};preview_set_connection_tag {router_005.src/rsp_demux_003.sink} {qsys_mm.response};add_connection {adc_ltc2308_slave_agent.rp} {router_006.sink} {avalon_streaming};preview_set_connection_tag {adc_ltc2308_slave_agent.rp/router_006.sink} {qsys_mm.response};add_connection {router_006.src} {rsp_demux_004.sink} {avalon_streaming};preview_set_connection_tag {router_006.src/rsp_demux_004.sink} {qsys_mm.response};add_connection {sw_s1_agent.rp} {router_007.sink} {avalon_streaming};preview_set_connection_tag {sw_s1_agent.rp/router_007.sink} {qsys_mm.response};add_connection {router_007.src} {rsp_demux_005.sink} {avalon_streaming};preview_set_connection_tag {router_007.src/rsp_demux_005.sink} {qsys_mm.response};add_connection {router.src} {nios2_qsys_instruction_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router.src/nios2_qsys_instruction_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_instruction_master_limiter.cmd_src} {cmd_demux.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.cmd_src/cmd_demux.sink} {qsys_mm.command};add_connection {rsp_mux.src} {nios2_qsys_instruction_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux.src/nios2_qsys_instruction_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.rsp_src} {nios2_qsys_instruction_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_instruction_master_limiter.rsp_src/nios2_qsys_instruction_master_agent.rp} {qsys_mm.response};add_connection {router_001.src} {nios2_qsys_data_master_limiter.cmd_sink} {avalon_streaming};preview_set_connection_tag {router_001.src/nios2_qsys_data_master_limiter.cmd_sink} {qsys_mm.command};add_connection {nios2_qsys_data_master_limiter.cmd_src} {cmd_demux_001.sink} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.cmd_src/cmd_demux_001.sink} {qsys_mm.command};add_connection {rsp_mux_001.src} {nios2_qsys_data_master_limiter.rsp_sink} {avalon_streaming};preview_set_connection_tag {rsp_mux_001.src/nios2_qsys_data_master_limiter.rsp_sink} {qsys_mm.response};add_connection {nios2_qsys_data_master_limiter.rsp_src} {nios2_qsys_data_master_agent.rp} {avalon_streaming};preview_set_connection_tag {nios2_qsys_data_master_limiter.rsp_src/nios2_qsys_data_master_agent.rp} {qsys_mm.response};add_connection {cmd_demux.src0} {cmd_mux.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src0/cmd_mux.sink0} {qsys_mm.command};add_connection {cmd_demux.src1} {cmd_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux.src1/cmd_mux_001.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src0} {cmd_mux.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src0/cmd_mux.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src1} {cmd_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src1/cmd_mux_001.sink1} {qsys_mm.command};add_connection {cmd_demux_001.src2} {cmd_mux_002.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src2/cmd_mux_002.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src3} {cmd_mux_003.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src3/cmd_mux_003.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src4} {cmd_mux_004.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src4/cmd_mux_004.sink0} {qsys_mm.command};add_connection {cmd_demux_001.src5} {cmd_mux_005.sink0} {avalon_streaming};preview_set_connection_tag {cmd_demux_001.src5/cmd_mux_005.sink0} {qsys_mm.command};add_connection {rsp_demux.src0} {rsp_mux.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src0/rsp_mux.sink0} {qsys_mm.response};add_connection {rsp_demux.src1} {rsp_mux_001.sink0} {avalon_streaming};preview_set_connection_tag {rsp_demux.src1/rsp_mux_001.sink0} {qsys_mm.response};add_connection {rsp_demux_001.src0} {rsp_mux.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src0/rsp_mux.sink1} {qsys_mm.response};add_connection {rsp_demux_001.src1} {rsp_mux_001.sink1} {avalon_streaming};preview_set_connection_tag {rsp_demux_001.src1/rsp_mux_001.sink1} {qsys_mm.response};add_connection {rsp_demux_002.src0} {rsp_mux_001.sink2} {avalon_streaming};preview_set_connection_tag {rsp_demux_002.src0/rsp_mux_001.sink2} {qsys_mm.response};add_connection {rsp_demux_003.src0} {rsp_mux_001.sink3} {avalon_streaming};preview_set_connection_tag {rsp_demux_003.src0/rsp_mux_001.sink3} {qsys_mm.response};add_connection {rsp_demux_004.src0} {rsp_mux_001.sink4} {avalon_streaming};preview_set_connection_tag {rsp_demux_004.src0/rsp_mux_001.sink4} {qsys_mm.response};add_connection {rsp_demux_005.src0} {rsp_mux_001.sink5} {avalon_streaming};preview_set_connection_tag {rsp_demux_005.src0/rsp_mux_001.sink5} {qsys_mm.response};add_connection {nios2_qsys_instruction_master_limiter.cmd_valid} {cmd_demux.sink_valid} {avalon_streaming};add_connection {nios2_qsys_data_master_limiter.cmd_valid} {cmd_demux_001.sink_valid} {avalon_streaming};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_translator.reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {router_002.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_instruction_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {nios2_qsys_data_master_limiter.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_demux_001.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {cmd_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_demux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux.clk_reset} {reset};add_connection {nios2_qsys_reset_n_reset_bridge.out_reset} {rsp_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_translator.reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {onchip_memory2_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sysid_qsys_control_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {adc_ltc2308_slave_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {sw_s1_agent_rsp_fifo.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_006.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {router_007.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {cmd_mux_005.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_001.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_002.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_003.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_004.clk_reset} {reset};add_connection {onchip_memory2_reset1_reset_bridge.out_reset} {rsp_demux_005.clk_reset} {reset};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_translator.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_jtag_debug_module_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sysid_qsys_control_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {jtag_uart_avalon_jtag_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {adc_ltc2308_slave_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {sw_s1_agent_rsp_fifo.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_006.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {router_007.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_instruction_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_data_master_limiter.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_001.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_002.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_003.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_004.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {cmd_mux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {rsp_demux_005.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {nios2_qsys_reset_n_reset_bridge.clk} {clock};add_connection {pll_sys_outclk0_clock_bridge.out_clk} {onchip_memory2_reset1_reset_bridge.clk} {clock};add_interface {pll_sys_outclk0} {clock} {slave};set_interface_property {pll_sys_outclk0} {EXPORT_OF} {pll_sys_outclk0_clock_bridge.in_clk};add_interface {nios2_qsys_reset_n_reset_bridge_in_reset} {reset} {slave};set_interface_property {nios2_qsys_reset_n_reset_bridge_in_reset} {EXPORT_OF} {nios2_qsys_reset_n_reset_bridge.in_reset};add_interface {onchip_memory2_reset1_reset_bridge_in_reset} {reset} {slave};set_interface_property {onchip_memory2_reset1_reset_bridge_in_reset} {EXPORT_OF} {onchip_memory2_reset1_reset_bridge.in_reset};add_interface {nios2_qsys_data_master} {avalon} {slave};set_interface_property {nios2_qsys_data_master} {EXPORT_OF} {nios2_qsys_data_master_translator.avalon_anti_master_0};add_interface {nios2_qsys_instruction_master} {avalon} {slave};set_interface_property {nios2_qsys_instruction_master} {EXPORT_OF} {nios2_qsys_instruction_master_translator.avalon_anti_master_0};add_interface {adc_ltc2308_slave} {avalon} {master};set_interface_property {adc_ltc2308_slave} {EXPORT_OF} {adc_ltc2308_slave_translator.avalon_anti_slave_0};add_interface {jtag_uart_avalon_jtag_slave} {avalon} {master};set_interface_property {jtag_uart_avalon_jtag_slave} {EXPORT_OF} {jtag_uart_avalon_jtag_slave_translator.avalon_anti_slave_0};add_interface {nios2_qsys_jtag_debug_module} {avalon} {master};set_interface_property {nios2_qsys_jtag_debug_module} {EXPORT_OF} {nios2_qsys_jtag_debug_module_translator.avalon_anti_slave_0};add_interface {onchip_memory2_s1} {avalon} {master};set_interface_property {onchip_memory2_s1} {EXPORT_OF} {onchip_memory2_s1_translator.avalon_anti_slave_0};add_interface {sw_s1} {avalon} {master};set_interface_property {sw_s1} {EXPORT_OF} {sw_s1_translator.avalon_anti_slave_0};add_interface {sysid_qsys_control_slave} {avalon} {master};set_interface_property {sysid_qsys_control_slave} {EXPORT_OF} {sysid_qsys_control_slave_translator.avalon_anti_slave_0};set_module_assignment {interconnect_id.adc_ltc2308.slave} {0};set_module_assignment {interconnect_id.jtag_uart.avalon_jtag_slave} {1};set_module_assignment {interconnect_id.nios2_qsys.data_master} {0};set_module_assignment {interconnect_id.nios2_qsys.instruction_master} {1};set_module_assignment {interconnect_id.nios2_qsys.jtag_debug_module} {2};set_module_assignment {interconnect_id.onchip_memory2.s1} {3};set_module_assignment {interconnect_id.sw.s1} {4};set_module_assignment {interconnect_id.sysid_qsys.control_slave} {5};" />
2304
  
2305
   
2306
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0.v"
2307
       type="VERILOG" />
2308
  
2309
  
2310
   
2311
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_translator.sv"
2312
       type="SYSTEM_VERILOG"
2313
       attributes="" />
2314
   
2315
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_translator.sv"
2316
       type="SYSTEM_VERILOG"
2317
       attributes="" />
2318
   
2319
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_agent.sv"
2320
       type="SYSTEM_VERILOG"
2321
       attributes="" />
2322
   
2323
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_agent.sv"
2324
       type="SYSTEM_VERILOG"
2325
       attributes="" />
2326
   
2327
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
2328
       type="SYSTEM_VERILOG"
2329
       attributes="" />
2330
   
2331
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
2332
       type="VERILOG" />
2333
   
2334
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router.sv"
2335
       type="SYSTEM_VERILOG"
2336
       attributes="" />
2337
   
2338
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001.sv"
2339
       type="SYSTEM_VERILOG"
2340
       attributes="" />
2341
   
2342
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002.sv"
2343
       type="SYSTEM_VERILOG"
2344
       attributes="" />
2345
   
2346
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004.sv"
2347
       type="SYSTEM_VERILOG"
2348
       attributes="" />
2349
   
2350
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_traffic_limiter.sv"
2351
       type="SYSTEM_VERILOG"
2352
       attributes="" />
2353
   
2354
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_reorder_memory.sv"
2355
       type="SYSTEM_VERILOG"
2356
       attributes="" />
2357
   
2358
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
2359
       type="SYSTEM_VERILOG"
2360
       attributes="" />
2361
   
2362
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_st_pipeline_base.v"
2363
       type="SYSTEM_VERILOG"
2364
       attributes="" />
2365
   
2366
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux.sv"
2367
       type="SYSTEM_VERILOG" />
2368
   
2369
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001.sv"
2370
       type="SYSTEM_VERILOG" />
2371
   
2372
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
2373
       type="SYSTEM_VERILOG" />
2374
   
2375
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux.sv"
2376
       type="SYSTEM_VERILOG" />
2377
   
2378
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
2379
       type="SYSTEM_VERILOG" />
2380
   
2381
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002.sv"
2382
       type="SYSTEM_VERILOG" />
2383
   
2384
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux.sv"
2385
       type="SYSTEM_VERILOG" />
2386
   
2387
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002.sv"
2388
       type="SYSTEM_VERILOG" />
2389
   
2390
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
2391
       type="SYSTEM_VERILOG" />
2392
   
2393
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux.sv"
2394
       type="SYSTEM_VERILOG" />
2395
   
2396
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
2397
       type="SYSTEM_VERILOG" />
2398
   
2399
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001.sv"
2400
       type="SYSTEM_VERILOG" />
2401
  
2402
  
2403
   
2404
       path="C:/altera/14.0/ip/altera/merlin/altera_mm_interconnect/altera_mm_interconnect_hw.tcl" />
2405
  
2406
  
2407
   
2408
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
2409
   
2410
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
2411
   
2412
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
2413
   
2414
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
2415
   
2416
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
2417
   
2418
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
2419
   
2420
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
2421
   
2422
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
2423
   
2424
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
2425
   
2426
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
2427
   
2428
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" />
2429
   
2430
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
2431
   
2432
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
2433
   
2434
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
2435
   
2436
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
2437
   
2438
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
2439
   
2440
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
2441
   
2442
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
2443
   
2444
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
2445
   
2446
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
2447
   
2448
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
2449
   
2450
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
2451
   
2452
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
2453
  
2454
  
2455
  
2456
   queue size: 4 starting:altera_mm_interconnect "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0"
2457
   
2458
   
2459
   
2460
   Transform: CustomInstructionTransform
2461
   No custom instruction connections, skipping transform 
2462
   51 modules, 170 connections]]>
2463
   Transform: MMTransform
2464
   Transform: InitialInterconnectTransform
2465
   0 modules, 0 connections]]>
2466
   Transform: TerminalIdAssignmentUpdateTransform
2467
   Transform: DefaultSlaveTransform
2468
   Transform: TranslatorTransform
2469
   No Avalon connections, skipping transform 
2470
   Transform: IDPadTransform
2471
   Transform: DomainTransform
2472
   Transform: RouterTransform
2473
   Transform: TrafficLimiterTransform
2474
   Transform: BurstTransform
2475
   Transform: TreeTransform
2476
   Transform: NetworkToSwitchTransform
2477
   Transform: WidthTransform
2478
   Transform: RouterTableTransform
2479
   Transform: ThreadIDMappingTableTransform
2480
   Transform: ClockCrossingTransform
2481
   Transform: PipelineTransform
2482
   Transform: SpotPipelineTransform
2483
   Transform: PerformanceMonitorTransform
2484
   Transform: TrafficLimiterUpdateTransform
2485
   Transform: InsertClockAndResetBridgesTransform
2486
   Transform: InterconnectConnectionsTagger
2487
   Transform: HierarchyTransform
2488
   51 modules, 170 connections]]>
2489
   Transform: InitialInterconnectTransform
2490
   0 modules, 0 connections]]>
2491
   Transform: TerminalIdAssignmentUpdateTransform
2492
   Transform: DefaultSlaveTransform
2493
   Transform: TranslatorTransform
2494
   No Avalon connections, skipping transform 
2495
   Transform: IDPadTransform
2496
   Transform: DomainTransform
2497
   Transform: RouterTransform
2498
   Transform: TrafficLimiterTransform
2499
   Transform: BurstTransform
2500
   Transform: TreeTransform
2501
   Transform: NetworkToSwitchTransform
2502
   Transform: WidthTransform
2503
   Transform: RouterTableTransform
2504
   Transform: ThreadIDMappingTableTransform
2505
   Transform: ClockCrossingTransform
2506
   Transform: PipelineTransform
2507
   Transform: SpotPipelineTransform
2508
   Transform: PerformanceMonitorTransform
2509
   Transform: TrafficLimiterUpdateTransform
2510
   Transform: InsertClockAndResetBridgesTransform
2511
   Transform: InterconnectConnectionsTagger
2512
   Transform: HierarchyTransform
2513
   51 modules, 170 connections]]>
2514
   Transform: InitialInterconnectTransform
2515
   0 modules, 0 connections]]>
2516
   Transform: TerminalIdAssignmentUpdateTransform
2517
   Transform: DefaultSlaveTransform
2518
   Transform: TranslatorTransform
2519
   No Avalon connections, skipping transform 
2520
   Transform: IDPadTransform
2521
   Transform: DomainTransform
2522
   Transform: RouterTransform
2523
   Transform: TrafficLimiterTransform
2524
   Transform: BurstTransform
2525
   Transform: TreeTransform
2526
   Transform: NetworkToSwitchTransform
2527
   Transform: WidthTransform
2528
   Transform: RouterTableTransform
2529
   Transform: ThreadIDMappingTableTransform
2530
   Transform: ClockCrossingTransform
2531
   Transform: PipelineTransform
2532
   Transform: SpotPipelineTransform
2533
   Transform: PerformanceMonitorTransform
2534
   Transform: TrafficLimiterUpdateTransform
2535
   Transform: InsertClockAndResetBridgesTransform
2536
   Transform: InterconnectConnectionsTagger
2537
   Transform: HierarchyTransform
2538
   51 modules, 170 connections]]>
2539
   Transform: InitialInterconnectTransform
2540
   0 modules, 0 connections]]>
2541
   Transform: TerminalIdAssignmentUpdateTransform
2542
   Transform: DefaultSlaveTransform
2543
   Transform: TranslatorTransform
2544
   No Avalon connections, skipping transform 
2545
   Transform: IDPadTransform
2546
   Transform: DomainTransform
2547
   Transform: RouterTransform
2548
   Transform: TrafficLimiterTransform
2549
   Transform: BurstTransform
2550
   Transform: TreeTransform
2551
   Transform: NetworkToSwitchTransform
2552
   Transform: WidthTransform
2553
   Transform: RouterTableTransform
2554
   Transform: ThreadIDMappingTableTransform
2555
   Transform: ClockCrossingTransform
2556
   Transform: PipelineTransform
2557
   Transform: SpotPipelineTransform
2558
   Transform: PerformanceMonitorTransform
2559
   Transform: TrafficLimiterUpdateTransform
2560
   Transform: InsertClockAndResetBridgesTransform
2561
   Transform: InterconnectConnectionsTagger
2562
   Transform: HierarchyTransform
2563
   51 modules, 170 connections]]>
2564
   Transform: InitialInterconnectTransform
2565
   0 modules, 0 connections]]>
2566
   Transform: TerminalIdAssignmentUpdateTransform
2567
   Transform: DefaultSlaveTransform
2568
   Transform: TranslatorTransform
2569
   No Avalon connections, skipping transform 
2570
   Transform: IDPadTransform
2571
   Transform: DomainTransform
2572
   Transform: RouterTransform
2573
   Transform: TrafficLimiterTransform
2574
   Transform: BurstTransform
2575
   Transform: TreeTransform
2576
   Transform: NetworkToSwitchTransform
2577
   Transform: WidthTransform
2578
   Transform: RouterTableTransform
2579
   Transform: ThreadIDMappingTableTransform
2580
   Transform: ClockCrossingTransform
2581
   Transform: PipelineTransform
2582
   Transform: SpotPipelineTransform
2583
   Transform: PerformanceMonitorTransform
2584
   Transform: TrafficLimiterUpdateTransform
2585
   Transform: InsertClockAndResetBridgesTransform
2586
   Transform: InterconnectConnectionsTagger
2587
   Transform: HierarchyTransform
2588
   51 modules, 170 connections]]>
2589
   Transform: InitialInterconnectTransform
2590
   0 modules, 0 connections]]>
2591
   Transform: TerminalIdAssignmentUpdateTransform
2592
   Transform: DefaultSlaveTransform
2593
   Transform: TranslatorTransform
2594
   No Avalon connections, skipping transform 
2595
   Transform: IDPadTransform
2596
   Transform: DomainTransform
2597
   Transform: RouterTransform
2598
   Transform: TrafficLimiterTransform
2599
   Transform: BurstTransform
2600
   Transform: TreeTransform
2601
   Transform: NetworkToSwitchTransform
2602
   Transform: WidthTransform
2603
   Transform: RouterTableTransform
2604
   Transform: ThreadIDMappingTableTransform
2605
   Transform: ClockCrossingTransform
2606
   Transform: PipelineTransform
2607
   Transform: SpotPipelineTransform
2608
   Transform: PerformanceMonitorTransform
2609
   Transform: TrafficLimiterUpdateTransform
2610
   Transform: InsertClockAndResetBridgesTransform
2611
   Transform: InterconnectConnectionsTagger
2612
   Transform: HierarchyTransform
2613
   51 modules, 170 connections]]>
2614
   Transform: InitialInterconnectTransform
2615
   0 modules, 0 connections]]>
2616
   Transform: TerminalIdAssignmentUpdateTransform
2617
   Transform: DefaultSlaveTransform
2618
   Transform: TranslatorTransform
2619
   No Avalon connections, skipping transform 
2620
   Transform: IDPadTransform
2621
   Transform: DomainTransform
2622
   Transform: RouterTransform
2623
   Transform: TrafficLimiterTransform
2624
   Transform: BurstTransform
2625
   Transform: TreeTransform
2626
   Transform: NetworkToSwitchTransform
2627
   Transform: WidthTransform
2628
   Transform: RouterTableTransform
2629
   Transform: ThreadIDMappingTableTransform
2630
   Transform: ClockCrossingTransform
2631
   Transform: PipelineTransform
2632
   Transform: SpotPipelineTransform
2633
   Transform: PerformanceMonitorTransform
2634
   Transform: TrafficLimiterUpdateTransform
2635
   Transform: InsertClockAndResetBridgesTransform
2636
   Transform: InterconnectConnectionsTagger
2637
   Transform: HierarchyTransform
2638
   51 modules, 170 connections]]>
2639
   Transform: InitialInterconnectTransform
2640
   0 modules, 0 connections]]>
2641
   Transform: TerminalIdAssignmentUpdateTransform
2642
   Transform: DefaultSlaveTransform
2643
   Transform: TranslatorTransform
2644
   No Avalon connections, skipping transform 
2645
   Transform: IDPadTransform
2646
   Transform: DomainTransform
2647
   Transform: RouterTransform
2648
   Transform: TrafficLimiterTransform
2649
   Transform: BurstTransform
2650
   Transform: TreeTransform
2651
   Transform: NetworkToSwitchTransform
2652
   Transform: WidthTransform
2653
   Transform: RouterTableTransform
2654
   Transform: ThreadIDMappingTableTransform
2655
   Transform: ClockCrossingTransform
2656
   Transform: PipelineTransform
2657
   Transform: SpotPipelineTransform
2658
   Transform: PerformanceMonitorTransform
2659
   Transform: TrafficLimiterUpdateTransform
2660
   Transform: InsertClockAndResetBridgesTransform
2661
   Transform: InterconnectConnectionsTagger
2662
   Transform: HierarchyTransform
2663
   51 modules, 170 connections]]>
2664
   Transform: InterruptMapperTransform
2665
   Transform: InterruptSyncTransform
2666
   Transform: InterruptFanoutTransform
2667
   Transform: AvalonStreamingTransform
2668
   Transform: ResetAdaptation
2669
   mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]>
2670
   mm_interconnect_0" reuses altera_merlin_master_translator "submodules/altera_merlin_master_translator"]]>
2671
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
2672
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
2673
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
2674
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
2675
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
2676
   mm_interconnect_0" reuses altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"]]>
2677
   mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]>
2678
   mm_interconnect_0" reuses altera_merlin_master_agent "submodules/altera_merlin_master_agent"]]>
2679
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
2680
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
2681
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
2682
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
2683
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
2684
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
2685
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
2686
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
2687
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
2688
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
2689
   mm_interconnect_0" reuses altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"]]>
2690
   mm_interconnect_0" reuses altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"]]>
2691
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router"]]>
2692
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001"]]>
2693
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"]]>
2694
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"]]>
2695
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"]]>
2696
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"]]>
2697
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"]]>
2698
   mm_interconnect_0" reuses altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"]]>
2699
   mm_interconnect_0" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]>
2700
   mm_interconnect_0" reuses altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"]]>
2701
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux"]]>
2702
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001"]]>
2703
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"]]>
2704
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"]]>
2705
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"]]>
2706
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"]]>
2707
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"]]>
2708
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"]]>
2709
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"]]>
2710
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"]]>
2711
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"]]>
2712
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"]]>
2713
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"]]>
2714
   mm_interconnect_0" reuses altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"]]>
2715
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux"]]>
2716
   mm_interconnect_0" reuses altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001"]]>
2717
   DE0_NANO_SOC_QSYS" instantiated altera_mm_interconnect "mm_interconnect_0"]]>
2718
   queue size: 47 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"
2719
   mm_interconnect_0" instantiated altera_merlin_master_translator "nios2_qsys_instruction_master_translator"]]>
2720
   queue size: 45 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"
2721
   mm_interconnect_0" instantiated altera_merlin_slave_translator "nios2_qsys_jtag_debug_module_translator"]]>
2722
   queue size: 39 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"
2723
   mm_interconnect_0" instantiated altera_merlin_master_agent "nios2_qsys_instruction_master_agent"]]>
2724
   queue size: 37 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"
2725
   mm_interconnect_0" instantiated altera_merlin_slave_agent "nios2_qsys_jtag_debug_module_agent"]]>
2726
   queue size: 36 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"
2727
   mm_interconnect_0" instantiated altera_avalon_sc_fifo "nios2_qsys_jtag_debug_module_agent_rsp_fifo"]]>
2728
   queue size: 25 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router"
2729
   mm_interconnect_0" instantiated altera_merlin_router "router"]]>
2730
   queue size: 24 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001"
2731
   mm_interconnect_0" instantiated altera_merlin_router "router_001"]]>
2732
   queue size: 23 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"
2733
   mm_interconnect_0" instantiated altera_merlin_router "router_002"]]>
2734
   queue size: 21 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"
2735
   mm_interconnect_0" instantiated altera_merlin_router "router_004"]]>
2736
   queue size: 17 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"
2737
   mm_interconnect_0" instantiated altera_merlin_traffic_limiter "nios2_qsys_instruction_master_limiter"]]>
2738
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v]]>
2739
   queue size: 15 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux"
2740
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]>
2741
   queue size: 14 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001"
2742
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]>
2743
   queue size: 13 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"
2744
   mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]>
2745
   queue size: 11 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"
2746
   mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]>
2747
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
2748
   queue size: 7 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"
2749
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]>
2750
   queue size: 5 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"
2751
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_002"]]>
2752
   queue size: 1 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux"
2753
   mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]>
2754
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
2755
   queue size: 0 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001"
2756
   mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]>
2757
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
2758
  
2759
 
2760
 
2761
   path="submodules/"
2762
   parameterizationKey="altera_irq_mapper:14.0:AUTO_DEVICE_FAMILY=Cyclone V,IRQ_MAP=0:0,1:1,NUM_RCVRS=2,SENDER_IRQ_WIDTH=32"
2763
   instancePathKey="DE0_NANO_SOC_QSYS:.:irq_mapper"
2764
   kind="altera_irq_mapper"
2765
   version="14.0"
2766
   name="DE0_NANO_SOC_QSYS_irq_mapper">
2767
  
2768
  
2769
  
2770
  
2771
  
2772
   
2773
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_irq_mapper.sv"
2774
       type="SYSTEM_VERILOG" />
2775
  
2776
  
2777
  
2778
   
2779
       path="C:/altera/14.0/ip/altera/merlin/altera_irq_mapper/altera_irq_mapper_hw.tcl" />
2780
  
2781
  
2782
  
2783
  
2784
   queue size: 51 starting:altera_irq_mapper "submodules/DE0_NANO_SOC_QSYS_irq_mapper"
2785
   DE0_NANO_SOC_QSYS" instantiated altera_irq_mapper "irq_mapper"]]>
2786
  
2787
 
2788
 
2789
   path="submodules/"
2790
   parameterizationKey="altera_reset_controller:14.0:ADAPT_RESET_REQUEST=0,AUTO_CLK_CLOCK_RATE=-1,MIN_RST_ASSERTION_TIME=3,NUM_RESET_INPUTS=2,OUTPUT_RESET_SYNC_EDGES=deassert,RESET_REQUEST_PRESENT=1,RESET_REQ_EARLY_DSRT_TIME=1,RESET_REQ_WAIT_TIME=1,SYNC_DEPTH=2,USE_RESET_REQUEST_IN0=0,USE_RESET_REQUEST_IN1=0,USE_RESET_REQUEST_IN10=0,USE_RESET_REQUEST_IN11=0,USE_RESET_REQUEST_IN12=0,USE_RESET_REQUEST_IN13=0,USE_RESET_REQUEST_IN14=0,USE_RESET_REQUEST_IN15=0,USE_RESET_REQUEST_IN2=0,USE_RESET_REQUEST_IN3=0,USE_RESET_REQUEST_IN4=0,USE_RESET_REQUEST_IN5=0,USE_RESET_REQUEST_IN6=0,USE_RESET_REQUEST_IN7=0,USE_RESET_REQUEST_IN8=0,USE_RESET_REQUEST_IN9=0,USE_RESET_REQUEST_INPUT=0"
2791
   instancePathKey="DE0_NANO_SOC_QSYS:.:rst_controller"
2792
   kind="altera_reset_controller"
2793
   version="14.0"
2794
   name="altera_reset_controller">
2795
  
2796
  
2797
   
2798
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_controller.v"
2799
       type="VERILOG"
2800
       attributes="" />
2801
   
2802
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_synchronizer.v"
2803
       type="VERILOG"
2804
       attributes="" />
2805
   
2806
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_reset_controller.sdc"
2807
       type="SDC"
2808
       attributes="" />
2809
  
2810
  
2811
  
2812
   
2813
       path="C:/altera/14.0/ip/altera/merlin/altera_reset_controller/altera_reset_controller_hw.tcl" />
2814
  
2815
  
2816
  
2817
     instantiator="DE0_NANO_SOC_QSYS"
2818
     as="rst_controller,rst_controller_001,rst_controller_002" />
2819
  
2820
   queue size: 50 starting:altera_reset_controller "submodules/altera_reset_controller"
2821
   DE0_NANO_SOC_QSYS" instantiated altera_reset_controller "rst_controller"]]>
2822
  
2823
 
2824
 
2825
   path="submodules/"
2826
   parameterizationKey="altera_merlin_master_translator:14.0:AUTO_CLK_CLOCK_RATE=100000000,AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=1,AV_ADDRESS_W=20,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=1,AV_MAX_PENDING_READ_TRANSACTIONS=64,AV_READLATENCY=0,AV_READ_WAIT=1,AV_REGISTERINCOMINGSIGNALS=0,AV_REGISTEROUTGOINGSIGNALS=0,AV_SETUP_WAIT=0,AV_SYMBOLS_PER_WORD=4,AV_WRITE_WAIT=0,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_CONSTANT_BURST_BEHAVIOR=0,USE_ADDRESS=1,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=0,USE_CHIPSELECT=0,USE_CLKEN=0,USE_DEBUGACCESS=0,USE_LOCK=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=1,USE_READRESPONSE=0,USE_WAITREQUEST=1,USE_WRITE=0,USE_WRITEDATA=0,USE_WRITERESPONSE=0"
2827
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_instruction_master_translator"
2828
   kind="altera_merlin_master_translator"
2829
   version="14.0"
2830
   name="altera_merlin_master_translator">
2831
  
2832
  
2833
   
2834
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_translator.sv"
2835
       type="SYSTEM_VERILOG"
2836
       attributes="" />
2837
  
2838
  
2839
  
2840
   
2841
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_translator/altera_merlin_master_translator_hw.tcl" />
2842
  
2843
  
2844
  
2845
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
2846
     as="nios2_qsys_instruction_master_translator,nios2_qsys_data_master_translator" />
2847
  
2848
   queue size: 47 starting:altera_merlin_master_translator "submodules/altera_merlin_master_translator"
2849
   mm_interconnect_0" instantiated altera_merlin_master_translator "nios2_qsys_instruction_master_translator"]]>
2850
  
2851
 
2852
 
2853
   path="submodules/"
2854
   parameterizationKey="altera_merlin_slave_translator:14.0:AV_ADDRESSGROUP=0,AV_ADDRESS_SYMBOLS=0,AV_ADDRESS_W=9,AV_ALWAYSBURSTMAXBURST=0,AV_BITS_PER_SYMBOL=8,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_SYMBOLS=0,AV_BURSTCOUNT_W=1,AV_BYTEENABLE_W=4,AV_CONSTANT_BURST_BEHAVIOR=0,AV_DATA_HOLD=0,AV_DATA_HOLD_CYCLES=0,AV_DATA_W=32,AV_INTERLEAVEBURSTS=0,AV_ISBIGENDIAN=0,AV_LINEWRAPBURSTS=0,AV_MAX_PENDING_READ_TRANSACTIONS=1,AV_MAX_PENDING_WRITE_TRANSACTIONS=0,AV_READLATENCY=0,AV_READ_WAIT=1,AV_READ_WAIT_CYCLES=1,AV_REGISTERINCOMINGSIGNALS=1,AV_REGISTEROUTGOINGSIGNALS=0,AV_REQUIRE_UNALIGNED_ADDRESSES=0,AV_SETUP_WAIT=0,AV_SETUP_WAIT_CYCLES=0,AV_SYMBOLS_PER_WORD=4,AV_TIMING_UNITS=1,AV_WRITE_WAIT=0,AV_WRITE_WAIT_CYCLES=0,CHIPSELECT_THROUGH_READLATENCY=0,CLOCK_RATE=100000000,UAV_ADDRESSGROUP=0,UAV_ADDRESS_W=20,UAV_BURSTCOUNT_W=3,UAV_BYTEENABLE_W=4,UAV_CONSTANT_BURST_BEHAVIOR=0,UAV_DATA_W=32,USE_ADDRESS=1,USE_AV_CLKEN=0,USE_BEGINBURSTTRANSFER=0,USE_BEGINTRANSFER=0,USE_BURSTCOUNT=0,USE_BYTEENABLE=1,USE_CHIPSELECT=0,USE_DEBUGACCESS=1,USE_LOCK=0,USE_OUTPUTENABLE=0,USE_READ=1,USE_READDATA=1,USE_READDATAVALID=0,USE_READRESPONSE=0,USE_UAV_CLKEN=0,USE_WAITREQUEST=1,USE_WRITE=1,USE_WRITEBYTEENABLE=0,USE_WRITEDATA=1,USE_WRITERESPONSE=0"
2855
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_jtag_debug_module_translator"
2856
   kind="altera_merlin_slave_translator"
2857
   version="14.0"
2858
   name="altera_merlin_slave_translator">
2859
  
2860
   
2861
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_translator.sv"
2862
       type="SYSTEM_VERILOG"
2863
       attributes="" />
2864
  
2865
  
2866
  
2867
   
2868
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_translator/altera_merlin_slave_translator_hw.tcl" />
2869
  
2870
  
2871
  
2872
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
2873
     as="nios2_qsys_jtag_debug_module_translator,onchip_memory2_s1_translator,sysid_qsys_control_slave_translator,jtag_uart_avalon_jtag_slave_translator,adc_ltc2308_slave_translator,sw_s1_translator" />
2874
  
2875
   queue size: 45 starting:altera_merlin_slave_translator "submodules/altera_merlin_slave_translator"
2876
   mm_interconnect_0" instantiated altera_merlin_slave_translator "nios2_qsys_jtag_debug_module_translator"]]>
2877
  
2878
 
2879
 
2880
   path="submodules/"
2881
   parameterizationKey="altera_merlin_master_agent:14.0:ADDR_MAP=<?xml version="1.0" encoding="UTF-8"?>
2882
<address_map>
2883
 <slave
2884
   id="2"
2885
   name="nios2_qsys_jtag_debug_module_translator.avalon_universal_slave_0"
2886
   start="0x0000000000080800"
2887
   end="0x00000000000081000"
2888
   responds="1"
2889
   user_default="0" />
2890
 <slave
2891
   id="3"
2892
   name="onchip_memory2_s1_translator.avalon_universal_slave_0"
2893
   start="0x0000000000040000"
2894
   end="0x00000000000080000"
2895
   responds="1"
2896
   user_default="0" />
2897
</address_map>
2898
,AUTO_CLK_CLOCK_RATE=100000000,AV_BURSTBOUNDARIES=0,AV_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=1,BURSTWRAP_VALUE=3,CACHE_VALUE=0,ID=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_ADDR_SIDEBAND_H=73,PKT_ADDR_SIDEBAND_L=73,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BURST_TYPE_H=72,PKT_BURST_TYPE_L=71,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_CACHE_H=90,PKT_CACHE_L=87,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DATA_SIDEBAND_H=74,PKT_DATA_SIDEBAND_L=74,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_QOS_H=76,PKT_QOS_L=76,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_EXCLUSIVE=61,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURE_ACCESS_BIT=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_RSP=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0"
2899
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_instruction_master_agent"
2900
   kind="altera_merlin_master_agent"
2901
   version="14.0"
2902
   name="altera_merlin_master_agent">
2903
  
2904
  
2905
   
2906
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_master_agent.sv"
2907
       type="SYSTEM_VERILOG"
2908
       attributes="" />
2909
  
2910
  
2911
  
2912
   
2913
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_master_agent/altera_merlin_master_agent_hw.tcl" />
2914
  
2915
  
2916
  
2917
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
2918
     as="nios2_qsys_instruction_master_agent,nios2_qsys_data_master_agent" />
2919
  
2920
   queue size: 39 starting:altera_merlin_master_agent "submodules/altera_merlin_master_agent"
2921
   mm_interconnect_0" instantiated altera_merlin_master_agent "nios2_qsys_instruction_master_agent"]]>
2922
  
2923
 
2924
 
2925
   path="submodules/"
2926
   parameterizationKey="altera_merlin_slave_agent:14.0:AVS_BURSTCOUNT_SYMBOLS=0,AVS_BURSTCOUNT_W=3,AV_LINEWRAPBURSTS=0,ID=2,MAX_BURSTWRAP=7,MAX_BYTE_CNT=4,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_BEGIN_BURST=75,PKT_BURSTWRAP_H=67,PKT_BURSTWRAP_L=65,PKT_BURST_SIZE_H=70,PKT_BURST_SIZE_L=68,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DATA_H=31,PKT_DATA_L=0,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_ORI_BURST_SIZE_H=95,PKT_ORI_BURST_SIZE_L=93,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_RESPONSE_STATUS_H=92,PKT_RESPONSE_STATUS_L=91,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_SYMBOL_W=8,PKT_TRANS_COMPRESSED_READ=56,PKT_TRANS_LOCK=60,PKT_TRANS_POSTED=57,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,PREVENT_FIFO_OVERFLOW=1,ST_CHANNEL_W=6,ST_DATA_W=96,SUPPRESS_0_BYTEEN_CMD=0,USE_READRESPONSE=0,USE_WRITERESPONSE=0"
2927
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_jtag_debug_module_agent"
2928
   kind="altera_merlin_slave_agent"
2929
   version="14.0"
2930
   name="altera_merlin_slave_agent">
2931
  
2932
   
2933
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_slave_agent.sv"
2934
       type="SYSTEM_VERILOG"
2935
       attributes="" />
2936
   
2937
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_burst_uncompressor.sv"
2938
       type="SYSTEM_VERILOG"
2939
       attributes="" />
2940
  
2941
  
2942
  
2943
   
2944
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_slave_agent/altera_merlin_slave_agent_hw.tcl" />
2945
  
2946
  
2947
  
2948
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
2949
     as="nios2_qsys_jtag_debug_module_agent,onchip_memory2_s1_agent,sysid_qsys_control_slave_agent,jtag_uart_avalon_jtag_slave_agent,adc_ltc2308_slave_agent,sw_s1_agent" />
2950
  
2951
   queue size: 37 starting:altera_merlin_slave_agent "submodules/altera_merlin_slave_agent"
2952
   mm_interconnect_0" instantiated altera_merlin_slave_agent "nios2_qsys_jtag_debug_module_agent"]]>
2953
  
2954
 
2955
 
2956
   path="submodules/"
2957
   parameterizationKey="altera_avalon_sc_fifo:14.0:AUTO_DEVICE_FAMILY=Cyclone V,BITS_PER_SYMBOL=97,CHANNEL_WIDTH=0,EMPTY_LATENCY=1,ENABLE_EXPLICIT_MAXCHANNEL=false,ERROR_WIDTH=0,EXPLICIT_MAXCHANNEL=0,FIFO_DEPTH=2,SYMBOLS_PER_BEAT=1,USE_ALMOST_EMPTY_IF=0,USE_ALMOST_FULL_IF=0,USE_FILL_LEVEL=0,USE_MEMORY_BLOCKS=0,USE_PACKETS=1,USE_STORE_FORWARD=0"
2958
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_jtag_debug_module_agent_rsp_fifo"
2959
   kind="altera_avalon_sc_fifo"
2960
   version="14.0"
2961
   name="altera_avalon_sc_fifo">
2962
  
2963
  
2964
   
2965
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
2966
       type="VERILOG" />
2967
  
2968
  
2969
  
2970
   
2971
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo_hw.tcl" />
2972
   
2973
       path="C:/altera/14.0/ip/altera/sopc_builder_ip/altera_avalon_sc_fifo/altera_avalon_sc_fifo.v" />
2974
  
2975
  
2976
  
2977
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
2978
     as="nios2_qsys_jtag_debug_module_agent_rsp_fifo,onchip_memory2_s1_agent_rsp_fifo,sysid_qsys_control_slave_agent_rsp_fifo,jtag_uart_avalon_jtag_slave_agent_rsp_fifo,adc_ltc2308_slave_agent_rsp_fifo,sw_s1_agent_rsp_fifo" />
2979
  
2980
   queue size: 36 starting:altera_avalon_sc_fifo "submodules/altera_avalon_sc_fifo"
2981
   mm_interconnect_0" instantiated altera_avalon_sc_fifo "nios2_qsys_jtag_debug_module_agent_rsp_fifo"]]>
2982
  
2983
 
2984
 
2985
   path="submodules/"
2986
   parameterizationKey="altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=10,01,DECODER_TYPE=0,DEFAULT_CHANNEL=1,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=3,2,END_ADDRESS=0x80000,0x81000,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=3:10:0x40000:0x80000:both:1:0:0:1,2:01:0x80800:0x81000:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x40000,0x80800,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both"
2987
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:router"
2988
   kind="altera_merlin_router"
2989
   version="14.0"
2990
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_router">
2991
  
2992
  
2993
  
2994
  
2995
  
2996
  
2997
  
2998
  
2999
  
3000
  
3001
  
3002
  
3003
  
3004
  
3005
  
3006
  
3007
  
3008
  
3009
  
3010
  
3011
  
3012
  
3013
     name="SLAVES_INFO"
3014
     value="3:10:0x40000:0x80000:both:1:0:0:1,2:01:0x80800:0x81000:both:1:0:0:1" />
3015
  
3016
  
3017
     name="MERLIN_PACKET_FORMAT"
3018
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3019
  
3020
  
3021
  
3022
  
3023
  
3024
   
3025
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router.sv"
3026
       type="SYSTEM_VERILOG"
3027
       attributes="" />
3028
  
3029
  
3030
  
3031
   
3032
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
3033
  
3034
  
3035
  
3036
  
3037
   queue size: 25 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router"
3038
   mm_interconnect_0" instantiated altera_merlin_router "router"]]>
3039
  
3040
 
3041
 
3042
   path="submodules/"
3043
   parameterizationKey="altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=100000,000010,000001,001000,000100,010000,DECODER_TYPE=0,DEFAULT_CHANNEL=1,DEFAULT_DESTID=3,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=4,3,2,1,5,0,END_ADDRESS=0x10,0x80000,0x81000,0x81008,0x81010,0x81018,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,1,1,1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,0,0,0,0,SECURED_RANGE_PAIRS=0,0,0,0,0,0,SLAVES_INFO=4:100000:0x0:0x10:both:1:0:0:1,3:000010:0x40000:0x80000:both:1:0:0:1,2:000001:0x80800:0x81000:both:1:0:0:1,1:001000:0x81000:0x81008:both:1:0:0:1,5:000100:0x81008:0x81010:read:1:0:0:1,0:010000:0x81010:0x81018:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x40000,0x80800,0x81000,0x81008,0x81010,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both,both,both,both,read,both"
3044
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:router_001"
3045
   kind="altera_merlin_router"
3046
   version="14.0"
3047
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001">
3048
  
3049
     name="END_ADDRESS"
3050
     value="0x10,0x80000,0x81000,0x81008,0x81010,0x81018" />
3051
  
3052
  
3053
  
3054
  
3055
     name="START_ADDRESS"
3056
     value="0x0,0x40000,0x80800,0x81000,0x81008,0x81010" />
3057
  
3058
  
3059
  
3060
  
3061
  
3062
  
3063
  
3064
  
3065
  
3066
  
3067
  
3068
  
3069
  
3070
  
3071
  
3072
  
3073
  
3074
     name="SLAVES_INFO"
3075
     value="4:100000:0x0:0x10:both:1:0:0:1,3:000010:0x40000:0x80000:both:1:0:0:1,2:000001:0x80800:0x81000:both:1:0:0:1,1:001000:0x81000:0x81008:both:1:0:0:1,5:000100:0x81008:0x81010:read:1:0:0:1,0:010000:0x81010:0x81018:both:1:0:0:1" />
3076
  
3077
  
3078
     name="MERLIN_PACKET_FORMAT"
3079
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3080
  
3081
  
3082
  
3083
  
3084
  
3085
   
3086
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001.sv"
3087
       type="SYSTEM_VERILOG"
3088
       attributes="" />
3089
  
3090
  
3091
  
3092
   
3093
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
3094
  
3095
  
3096
  
3097
  
3098
   queue size: 24 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_001"
3099
   mm_interconnect_0" instantiated altera_merlin_router "router_001"]]>
3100
  
3101
 
3102
 
3103
   path="submodules/"
3104
   parameterizationKey="altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=01,10,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=1,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=1,0,END_ADDRESS=0x0,0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,0,SECURED_RANGE_PAIRS=0,0,SLAVES_INFO=1:01:0x0:0x0:read:1:0:0:1,0:10:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=read,both"
3105
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:router_002"
3106
   kind="altera_merlin_router"
3107
   version="14.0"
3108
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002">
3109
  
3110
  
3111
  
3112
  
3113
  
3114
  
3115
  
3116
  
3117
  
3118
  
3119
  
3120
  
3121
  
3122
  
3123
  
3124
  
3125
  
3126
  
3127
  
3128
  
3129
  
3130
  
3131
     name="SLAVES_INFO"
3132
     value="1:01:0x0:0x0:read:1:0:0:1,0:10:0x0:0x0:both:1:0:0:1" />
3133
  
3134
  
3135
     name="MERLIN_PACKET_FORMAT"
3136
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3137
  
3138
  
3139
  
3140
  
3141
  
3142
   
3143
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002.sv"
3144
       type="SYSTEM_VERILOG"
3145
       attributes="" />
3146
  
3147
  
3148
  
3149
   
3150
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
3151
  
3152
  
3153
  
3154
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
3155
     as="router_002,router_003" />
3156
  
3157
   queue size: 23 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_002"
3158
   mm_interconnect_0" instantiated altera_merlin_router "router_002"]]>
3159
  
3160
 
3161
 
3162
   path="submodules/"
3163
   parameterizationKey="altera_merlin_router:14.0:AUTO_CLK_CLOCK_RATE=100000000,CHANNEL_ID=1,DECODER_TYPE=1,DEFAULT_CHANNEL=0,DEFAULT_DESTID=0,DEFAULT_RD_CHANNEL=-1,DEFAULT_WR_CHANNEL=-1,DESTINATION_ID=0,END_ADDRESS=0x0,MEMORY_ALIASING_DECODE=0,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NON_SECURED_TAG=1,PKT_ADDR_H=55,PKT_ADDR_L=36,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_PROTECTION_H=86,PKT_PROTECTION_L=84,PKT_TRANS_READ=59,PKT_TRANS_WRITE=58,SECURED_RANGE_LIST=0,SECURED_RANGE_PAIRS=0,SLAVES_INFO=0:1:0x0:0x0:both:1:0:0:1,SPAN_OFFSET=,START_ADDRESS=0x0,ST_CHANNEL_W=6,ST_DATA_W=96,TYPE_OF_TRANSACTION=both"
3164
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:router_004"
3165
   kind="altera_merlin_router"
3166
   version="14.0"
3167
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004">
3168
  
3169
  
3170
  
3171
  
3172
  
3173
  
3174
  
3175
  
3176
  
3177
  
3178
  
3179
  
3180
  
3181
  
3182
  
3183
  
3184
  
3185
  
3186
  
3187
  
3188
  
3189
  
3190
  
3191
  
3192
     name="MERLIN_PACKET_FORMAT"
3193
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3194
  
3195
  
3196
  
3197
  
3198
  
3199
   
3200
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004.sv"
3201
       type="SYSTEM_VERILOG"
3202
       attributes="" />
3203
  
3204
  
3205
  
3206
   
3207
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_router/altera_merlin_router_hw.tcl" />
3208
  
3209
  
3210
  
3211
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
3212
     as="router_004,router_005,router_006,router_007" />
3213
  
3214
   queue size: 21 starting:altera_merlin_router "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_router_004"
3215
   mm_interconnect_0" instantiated altera_merlin_router "router_004"]]>
3216
  
3217
 
3218
 
3219
   path="submodules/"
3220
   parameterizationKey="altera_merlin_traffic_limiter:14.0:AUTO_CLK_CLOCK_RATE=100000000,ENFORCE_ORDER=1,MAX_BURST_LENGTH=1,MAX_OUTSTANDING_RESPONSES=1,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),PIPELINED=0,PKT_BYTEEN_H=35,PKT_BYTEEN_L=32,PKT_BYTE_CNT_H=64,PKT_BYTE_CNT_L=62,PKT_DEST_ID_H=82,PKT_DEST_ID_L=80,PKT_SRC_ID_H=79,PKT_SRC_ID_L=77,PKT_THREAD_ID_H=83,PKT_THREAD_ID_L=83,PKT_TRANS_POSTED=57,PKT_TRANS_WRITE=58,PREVENT_HAZARDS=0,REORDER=0,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6"
3221
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:nios2_qsys_instruction_master_limiter"
3222
   kind="altera_merlin_traffic_limiter"
3223
   version="14.0"
3224
   name="altera_merlin_traffic_limiter">
3225
  
3226
  
3227
   
3228
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_traffic_limiter.sv"
3229
       type="SYSTEM_VERILOG"
3230
       attributes="" />
3231
   
3232
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_reorder_memory.sv"
3233
       type="SYSTEM_VERILOG"
3234
       attributes="" />
3235
   
3236
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v"
3237
       type="SYSTEM_VERILOG"
3238
       attributes="" />
3239
   
3240
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_st_pipeline_base.v"
3241
       type="SYSTEM_VERILOG"
3242
       attributes="" />
3243
  
3244
  
3245
  
3246
   
3247
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_traffic_limiter/altera_merlin_traffic_limiter_hw.tcl" />
3248
  
3249
  
3250
  
3251
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
3252
     as="nios2_qsys_instruction_master_limiter,nios2_qsys_data_master_limiter" />
3253
  
3254
   queue size: 17 starting:altera_merlin_traffic_limiter "submodules/altera_merlin_traffic_limiter"
3255
   mm_interconnect_0" instantiated altera_merlin_traffic_limiter "nios2_qsys_instruction_master_limiter"]]>
3256
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_avalon_sc_fifo.v]]>
3257
  
3258
 
3259
 
3260
   path="submodules/"
3261
   parameterizationKey="altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6"
3262
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:cmd_demux"
3263
   kind="altera_merlin_demultiplexer"
3264
   version="14.0"
3265
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux">
3266
  
3267
  
3268
  
3269
     name="MERLIN_PACKET_FORMAT"
3270
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3271
  
3272
  
3273
  
3274
  
3275
  
3276
   
3277
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux.sv"
3278
       type="SYSTEM_VERILOG" />
3279
  
3280
  
3281
  
3282
   
3283
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
3284
  
3285
  
3286
  
3287
  
3288
   queue size: 15 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux"
3289
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux"]]>
3290
  
3291
 
3292
 
3293
   path="submodules/"
3294
   parameterizationKey="altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=6,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=6"
3295
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:cmd_demux_001"
3296
   kind="altera_merlin_demultiplexer"
3297
   version="14.0"
3298
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001">
3299
  
3300
  
3301
  
3302
     name="MERLIN_PACKET_FORMAT"
3303
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3304
  
3305
  
3306
  
3307
  
3308
  
3309
   
3310
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001.sv"
3311
       type="SYSTEM_VERILOG" />
3312
  
3313
  
3314
  
3315
   
3316
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
3317
  
3318
  
3319
  
3320
  
3321
   queue size: 14 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_demux_001"
3322
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "cmd_demux_001"]]>
3323
  
3324
 
3325
 
3326
   path="submodules/"
3327
   parameterizationKey="altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
3328
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:cmd_mux"
3329
   kind="altera_merlin_multiplexer"
3330
   version="14.0"
3331
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux">
3332
  
3333
  
3334
  
3335
  
3336
  
3337
     name="MERLIN_PACKET_FORMAT"
3338
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3339
  
3340
  
3341
  
3342
  
3343
  
3344
  
3345
  
3346
   
3347
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
3348
       type="SYSTEM_VERILOG" />
3349
   
3350
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux.sv"
3351
       type="SYSTEM_VERILOG" />
3352
  
3353
  
3354
  
3355
   
3356
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
3357
   
3358
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
3359
  
3360
  
3361
  
3362
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
3363
     as="cmd_mux,cmd_mux_001" />
3364
  
3365
   queue size: 13 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux"
3366
   mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux"]]>
3367
  
3368
 
3369
 
3370
   path="submodules/"
3371
   parameterizationKey="altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=round-robin,ARBITRATION_SHARES=1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=1,PIPELINE_ARB=1,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
3372
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:cmd_mux_002"
3373
   kind="altera_merlin_multiplexer"
3374
   version="14.0"
3375
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002">
3376
  
3377
  
3378
  
3379
  
3380
  
3381
     name="MERLIN_PACKET_FORMAT"
3382
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3383
  
3384
  
3385
  
3386
  
3387
  
3388
  
3389
  
3390
   
3391
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
3392
       type="SYSTEM_VERILOG" />
3393
   
3394
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002.sv"
3395
       type="SYSTEM_VERILOG" />
3396
  
3397
  
3398
  
3399
   
3400
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
3401
   
3402
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
3403
  
3404
  
3405
  
3406
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
3407
     as="cmd_mux_002,cmd_mux_003,cmd_mux_004,cmd_mux_005" />
3408
  
3409
   queue size: 11 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_cmd_mux_002"
3410
   mm_interconnect_0" instantiated altera_merlin_multiplexer "cmd_mux_002"]]>
3411
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
3412
  
3413
 
3414
 
3415
   path="submodules/"
3416
   parameterizationKey="altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=2,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1"
3417
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:rsp_demux"
3418
   kind="altera_merlin_demultiplexer"
3419
   version="14.0"
3420
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux">
3421
  
3422
  
3423
  
3424
     name="MERLIN_PACKET_FORMAT"
3425
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3426
  
3427
  
3428
  
3429
  
3430
  
3431
   
3432
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux.sv"
3433
       type="SYSTEM_VERILOG" />
3434
  
3435
  
3436
  
3437
   
3438
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
3439
  
3440
  
3441
  
3442
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
3443
     as="rsp_demux,rsp_demux_001" />
3444
  
3445
   queue size: 7 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux"
3446
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux"]]>
3447
  
3448
 
3449
 
3450
   path="submodules/"
3451
   parameterizationKey="altera_merlin_demultiplexer:14.0:AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_OUTPUTS=1,ST_CHANNEL_W=6,ST_DATA_W=96,VALID_WIDTH=1"
3452
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:rsp_demux_002"
3453
   kind="altera_merlin_demultiplexer"
3454
   version="14.0"
3455
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002">
3456
  
3457
  
3458
  
3459
     name="MERLIN_PACKET_FORMAT"
3460
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3461
  
3462
  
3463
  
3464
  
3465
  
3466
   
3467
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002.sv"
3468
       type="SYSTEM_VERILOG" />
3469
  
3470
  
3471
  
3472
   
3473
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_demultiplexer/altera_merlin_demultiplexer_hw.tcl" />
3474
  
3475
  
3476
  
3477
     instantiator="DE0_NANO_SOC_QSYS_mm_interconnect_0"
3478
     as="rsp_demux_002,rsp_demux_003,rsp_demux_004,rsp_demux_005" />
3479
  
3480
   queue size: 5 starting:altera_merlin_demultiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_demux_002"
3481
   mm_interconnect_0" instantiated altera_merlin_demultiplexer "rsp_demux_002"]]>
3482
  
3483
 
3484
 
3485
   path="submodules/"
3486
   parameterizationKey="altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=2,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
3487
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:rsp_mux"
3488
   kind="altera_merlin_multiplexer"
3489
   version="14.0"
3490
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux">
3491
  
3492
  
3493
  
3494
  
3495
  
3496
     name="MERLIN_PACKET_FORMAT"
3497
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3498
  
3499
  
3500
  
3501
  
3502
  
3503
  
3504
  
3505
   
3506
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
3507
       type="SYSTEM_VERILOG" />
3508
   
3509
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux.sv"
3510
       type="SYSTEM_VERILOG" />
3511
  
3512
  
3513
  
3514
   
3515
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
3516
   
3517
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
3518
  
3519
  
3520
  
3521
  
3522
   queue size: 1 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux"
3523
   mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux"]]>
3524
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
3525
  
3526
 
3527
 
3528
   path="submodules/"
3529
   parameterizationKey="altera_merlin_multiplexer:14.0:ARBITRATION_SCHEME=no-arb,ARBITRATION_SHARES=1,1,1,1,1,1,AUTO_CLK_CLOCK_RATE=100000000,AUTO_DEVICE_FAMILY=Cyclone V,MERLIN_PACKET_FORMAT=ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0),NUM_INPUTS=6,PIPELINE_ARB=0,PKT_TRANS_LOCK=60,ST_CHANNEL_W=6,ST_DATA_W=96,USE_EXTERNAL_ARB=0"
3530
   instancePathKey="DE0_NANO_SOC_QSYS:.:mm_interconnect_0:.:rsp_mux_001"
3531
   kind="altera_merlin_multiplexer"
3532
   version="14.0"
3533
   name="DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001">
3534
  
3535
  
3536
  
3537
  
3538
  
3539
     name="MERLIN_PACKET_FORMAT"
3540
     value="ori_burst_size(95:93) response_status(92:91) cache(90:87) protection(86:84) thread_id(83) dest_id(82:80) src_id(79:77) qos(76) begin_burst(75) data_sideband(74) addr_sideband(73) burst_type(72:71) burst_size(70:68) burstwrap(67:65) byte_cnt(64:62) trans_exclusive(61) trans_lock(60) trans_read(59) trans_write(58) trans_posted(57) trans_compressed_read(56) addr(55:36) byteen(35:32) data(31:0)" />
3541
  
3542
  
3543
  
3544
  
3545
  
3546
  
3547
  
3548
   
3549
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv"
3550
       type="SYSTEM_VERILOG" />
3551
   
3552
       path="D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001.sv"
3553
       type="SYSTEM_VERILOG" />
3554
  
3555
  
3556
  
3557
   
3558
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_multiplexer_hw.tcl" />
3559
   
3560
       path="C:/altera/14.0/ip/altera/merlin/altera_merlin_multiplexer/altera_merlin_arbitrator.sv" />
3561
  
3562
  
3563
  
3564
  
3565
   queue size: 0 starting:altera_merlin_multiplexer "submodules/DE0_NANO_SOC_QSYS_mm_interconnect_0_rsp_mux_001"
3566
   mm_interconnect_0" instantiated altera_merlin_multiplexer "rsp_mux_001"]]>
3567
   D:/SVN/DE0_Nano_soc/DE0_NANO_SOC_ADC/DE0_NANO_SOC_QSYS/synthesis/submodules/altera_merlin_arbitrator.sv]]>
3568
  
3569
 
3570

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.