OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [DE0_NANO_SOC_QSYS/] [synthesis/] [submodules/] [DE0_NANO_SOC_QSYS_nios2_qsys_test_bench.v] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
//Legal Notice: (C)2014 Altera Corporation. All rights reserved.  Your
2
//use of Altera Corporation's design tools, logic functions and other
3
//software and tools, and its AMPP partner logic functions, and any
4
//output files any of the foregoing (including device programming or
5
//simulation files), and any associated documentation or information are
6
//expressly subject to the terms and conditions of the Altera Program
7
//License Subscription Agreement or other applicable license agreement,
8
//including, without limitation, that your use is for the sole purpose
9
//of programming logic devices manufactured by Altera and sold by Altera
10
//or its authorized distributors.  Please refer to the applicable
11
//agreement for further details.
12
 
13
// synthesis translate_off
14
`timescale 1ns / 1ps
15
// synthesis translate_on
16
 
17
// turn off superfluous verilog processor warnings 
18
// altera message_level Level1 
19
// altera message_off 10034 10035 10036 10037 10230 10240 10030 
20
 
21
module DE0_NANO_SOC_QSYS_nios2_qsys_test_bench (
22
                                                 // inputs:
23
                                                  A_bstatus_reg,
24
                                                  A_ctrl_ld_non_bypass,
25
                                                  A_en,
26
                                                  A_estatus_reg,
27
                                                  A_status_reg,
28
                                                  A_valid,
29
                                                  A_wr_data_unfiltered,
30
                                                  A_wr_dst_reg,
31
                                                  E_add_br_to_taken_history_unfiltered,
32
                                                  E_valid,
33
                                                  M_bht_ptr_unfiltered,
34
                                                  M_bht_wr_data_unfiltered,
35
                                                  M_bht_wr_en_unfiltered,
36
                                                  M_mem_baddr,
37
                                                  M_target_pcb,
38
                                                  M_valid,
39
                                                  W_dst_regnum,
40
                                                  W_iw,
41
                                                  W_iw_op,
42
                                                  W_iw_opx,
43
                                                  W_pcb,
44
                                                  W_valid,
45
                                                  W_vinst,
46
                                                  W_wr_dst_reg,
47
                                                  clk,
48
                                                  d_address,
49
                                                  d_byteenable,
50
                                                  d_read,
51
                                                  d_write,
52
                                                  i_address,
53
                                                  i_read,
54
                                                  i_readdatavalid,
55
                                                  reset_n,
56
 
57
                                                 // outputs:
58
                                                  A_wr_data_filtered,
59
                                                  E_add_br_to_taken_history_filtered,
60
                                                  M_bht_ptr_filtered,
61
                                                  M_bht_wr_data_filtered,
62
                                                  M_bht_wr_en_filtered,
63
                                                  test_has_ended
64
                                               )
65
;
66
 
67
  output  [ 31: 0] A_wr_data_filtered;
68
  output           E_add_br_to_taken_history_filtered;
69
  output  [  7: 0] M_bht_ptr_filtered;
70
  output  [  1: 0] M_bht_wr_data_filtered;
71
  output           M_bht_wr_en_filtered;
72
  output           test_has_ended;
73
  input   [ 31: 0] A_bstatus_reg;
74
  input            A_ctrl_ld_non_bypass;
75
  input            A_en;
76
  input   [ 31: 0] A_estatus_reg;
77
  input   [ 31: 0] A_status_reg;
78
  input            A_valid;
79
  input   [ 31: 0] A_wr_data_unfiltered;
80
  input            A_wr_dst_reg;
81
  input            E_add_br_to_taken_history_unfiltered;
82
  input            E_valid;
83
  input   [  7: 0] M_bht_ptr_unfiltered;
84
  input   [  1: 0] M_bht_wr_data_unfiltered;
85
  input            M_bht_wr_en_unfiltered;
86
  input   [ 19: 0] M_mem_baddr;
87
  input   [ 19: 0] M_target_pcb;
88
  input            M_valid;
89
  input   [  4: 0] W_dst_regnum;
90
  input   [ 31: 0] W_iw;
91
  input   [  5: 0] W_iw_op;
92
  input   [  5: 0] W_iw_opx;
93
  input   [ 19: 0] W_pcb;
94
  input            W_valid;
95
  input   [ 55: 0] W_vinst;
96
  input            W_wr_dst_reg;
97
  input            clk;
98
  input   [ 19: 0] d_address;
99
  input   [  3: 0] d_byteenable;
100
  input            d_read;
101
  input            d_write;
102
  input   [ 19: 0] i_address;
103
  input            i_read;
104
  input            i_readdatavalid;
105
  input            reset_n;
106
 
107
  reg     [ 19: 0] A_mem_baddr;
108
  reg     [ 19: 0] A_target_pcb;
109
  wire    [ 31: 0] A_wr_data_filtered;
110
  wire             A_wr_data_unfiltered_0_is_x;
111
  wire             A_wr_data_unfiltered_10_is_x;
112
  wire             A_wr_data_unfiltered_11_is_x;
113
  wire             A_wr_data_unfiltered_12_is_x;
114
  wire             A_wr_data_unfiltered_13_is_x;
115
  wire             A_wr_data_unfiltered_14_is_x;
116
  wire             A_wr_data_unfiltered_15_is_x;
117
  wire             A_wr_data_unfiltered_16_is_x;
118
  wire             A_wr_data_unfiltered_17_is_x;
119
  wire             A_wr_data_unfiltered_18_is_x;
120
  wire             A_wr_data_unfiltered_19_is_x;
121
  wire             A_wr_data_unfiltered_1_is_x;
122
  wire             A_wr_data_unfiltered_20_is_x;
123
  wire             A_wr_data_unfiltered_21_is_x;
124
  wire             A_wr_data_unfiltered_22_is_x;
125
  wire             A_wr_data_unfiltered_23_is_x;
126
  wire             A_wr_data_unfiltered_24_is_x;
127
  wire             A_wr_data_unfiltered_25_is_x;
128
  wire             A_wr_data_unfiltered_26_is_x;
129
  wire             A_wr_data_unfiltered_27_is_x;
130
  wire             A_wr_data_unfiltered_28_is_x;
131
  wire             A_wr_data_unfiltered_29_is_x;
132
  wire             A_wr_data_unfiltered_2_is_x;
133
  wire             A_wr_data_unfiltered_30_is_x;
134
  wire             A_wr_data_unfiltered_31_is_x;
135
  wire             A_wr_data_unfiltered_3_is_x;
136
  wire             A_wr_data_unfiltered_4_is_x;
137
  wire             A_wr_data_unfiltered_5_is_x;
138
  wire             A_wr_data_unfiltered_6_is_x;
139
  wire             A_wr_data_unfiltered_7_is_x;
140
  wire             A_wr_data_unfiltered_8_is_x;
141
  wire             A_wr_data_unfiltered_9_is_x;
142
  wire             E_add_br_to_taken_history_filtered;
143
  wire    [  7: 0] M_bht_ptr_filtered;
144
  wire    [  1: 0] M_bht_wr_data_filtered;
145
  wire             M_bht_wr_en_filtered;
146
  wire             W_op_add;
147
  wire             W_op_addi;
148
  wire             W_op_and;
149
  wire             W_op_andhi;
150
  wire             W_op_andi;
151
  wire             W_op_beq;
152
  wire             W_op_bge;
153
  wire             W_op_bgeu;
154
  wire             W_op_blt;
155
  wire             W_op_bltu;
156
  wire             W_op_bne;
157
  wire             W_op_br;
158
  wire             W_op_break;
159
  wire             W_op_bret;
160
  wire             W_op_call;
161
  wire             W_op_callr;
162
  wire             W_op_cmpeq;
163
  wire             W_op_cmpeqi;
164
  wire             W_op_cmpge;
165
  wire             W_op_cmpgei;
166
  wire             W_op_cmpgeu;
167
  wire             W_op_cmpgeui;
168
  wire             W_op_cmplt;
169
  wire             W_op_cmplti;
170
  wire             W_op_cmpltu;
171
  wire             W_op_cmpltui;
172
  wire             W_op_cmpne;
173
  wire             W_op_cmpnei;
174
  wire             W_op_crst;
175
  wire             W_op_custom;
176
  wire             W_op_div;
177
  wire             W_op_divu;
178
  wire             W_op_eret;
179
  wire             W_op_flushd;
180
  wire             W_op_flushda;
181
  wire             W_op_flushi;
182
  wire             W_op_flushp;
183
  wire             W_op_hbreak;
184
  wire             W_op_initd;
185
  wire             W_op_initda;
186
  wire             W_op_initi;
187
  wire             W_op_intr;
188
  wire             W_op_jmp;
189
  wire             W_op_jmpi;
190
  wire             W_op_ldb;
191
  wire             W_op_ldbio;
192
  wire             W_op_ldbu;
193
  wire             W_op_ldbuio;
194
  wire             W_op_ldh;
195
  wire             W_op_ldhio;
196
  wire             W_op_ldhu;
197
  wire             W_op_ldhuio;
198
  wire             W_op_ldl;
199
  wire             W_op_ldw;
200
  wire             W_op_ldwio;
201
  wire             W_op_mul;
202
  wire             W_op_muli;
203
  wire             W_op_mulxss;
204
  wire             W_op_mulxsu;
205
  wire             W_op_mulxuu;
206
  wire             W_op_nextpc;
207
  wire             W_op_nor;
208
  wire             W_op_opx;
209
  wire             W_op_or;
210
  wire             W_op_orhi;
211
  wire             W_op_ori;
212
  wire             W_op_rdctl;
213
  wire             W_op_rdprs;
214
  wire             W_op_ret;
215
  wire             W_op_rol;
216
  wire             W_op_roli;
217
  wire             W_op_ror;
218
  wire             W_op_rsv02;
219
  wire             W_op_rsv09;
220
  wire             W_op_rsv10;
221
  wire             W_op_rsv17;
222
  wire             W_op_rsv18;
223
  wire             W_op_rsv25;
224
  wire             W_op_rsv26;
225
  wire             W_op_rsv33;
226
  wire             W_op_rsv34;
227
  wire             W_op_rsv41;
228
  wire             W_op_rsv42;
229
  wire             W_op_rsv49;
230
  wire             W_op_rsv57;
231
  wire             W_op_rsv61;
232
  wire             W_op_rsv62;
233
  wire             W_op_rsv63;
234
  wire             W_op_rsvx00;
235
  wire             W_op_rsvx10;
236
  wire             W_op_rsvx15;
237
  wire             W_op_rsvx17;
238
  wire             W_op_rsvx21;
239
  wire             W_op_rsvx25;
240
  wire             W_op_rsvx33;
241
  wire             W_op_rsvx34;
242
  wire             W_op_rsvx35;
243
  wire             W_op_rsvx42;
244
  wire             W_op_rsvx43;
245
  wire             W_op_rsvx44;
246
  wire             W_op_rsvx47;
247
  wire             W_op_rsvx50;
248
  wire             W_op_rsvx51;
249
  wire             W_op_rsvx55;
250
  wire             W_op_rsvx56;
251
  wire             W_op_rsvx60;
252
  wire             W_op_rsvx63;
253
  wire             W_op_sll;
254
  wire             W_op_slli;
255
  wire             W_op_sra;
256
  wire             W_op_srai;
257
  wire             W_op_srl;
258
  wire             W_op_srli;
259
  wire             W_op_stb;
260
  wire             W_op_stbio;
261
  wire             W_op_stc;
262
  wire             W_op_sth;
263
  wire             W_op_sthio;
264
  wire             W_op_stw;
265
  wire             W_op_stwio;
266
  wire             W_op_sub;
267
  wire             W_op_sync;
268
  wire             W_op_trap;
269
  wire             W_op_wrctl;
270
  wire             W_op_wrprs;
271
  wire             W_op_xor;
272
  wire             W_op_xorhi;
273
  wire             W_op_xori;
274
  wire             test_has_ended;
275
  assign W_op_call = W_iw_op == 0;
276
  assign W_op_jmpi = W_iw_op == 1;
277
  assign W_op_ldbu = W_iw_op == 3;
278
  assign W_op_addi = W_iw_op == 4;
279
  assign W_op_stb = W_iw_op == 5;
280
  assign W_op_br = W_iw_op == 6;
281
  assign W_op_ldb = W_iw_op == 7;
282
  assign W_op_cmpgei = W_iw_op == 8;
283
  assign W_op_ldhu = W_iw_op == 11;
284
  assign W_op_andi = W_iw_op == 12;
285
  assign W_op_sth = W_iw_op == 13;
286
  assign W_op_bge = W_iw_op == 14;
287
  assign W_op_ldh = W_iw_op == 15;
288
  assign W_op_cmplti = W_iw_op == 16;
289
  assign W_op_initda = W_iw_op == 19;
290
  assign W_op_ori = W_iw_op == 20;
291
  assign W_op_stw = W_iw_op == 21;
292
  assign W_op_blt = W_iw_op == 22;
293
  assign W_op_ldw = W_iw_op == 23;
294
  assign W_op_cmpnei = W_iw_op == 24;
295
  assign W_op_flushda = W_iw_op == 27;
296
  assign W_op_xori = W_iw_op == 28;
297
  assign W_op_stc = W_iw_op == 29;
298
  assign W_op_bne = W_iw_op == 30;
299
  assign W_op_ldl = W_iw_op == 31;
300
  assign W_op_cmpeqi = W_iw_op == 32;
301
  assign W_op_ldbuio = W_iw_op == 35;
302
  assign W_op_muli = W_iw_op == 36;
303
  assign W_op_stbio = W_iw_op == 37;
304
  assign W_op_beq = W_iw_op == 38;
305
  assign W_op_ldbio = W_iw_op == 39;
306
  assign W_op_cmpgeui = W_iw_op == 40;
307
  assign W_op_ldhuio = W_iw_op == 43;
308
  assign W_op_andhi = W_iw_op == 44;
309
  assign W_op_sthio = W_iw_op == 45;
310
  assign W_op_bgeu = W_iw_op == 46;
311
  assign W_op_ldhio = W_iw_op == 47;
312
  assign W_op_cmpltui = W_iw_op == 48;
313
  assign W_op_initd = W_iw_op == 51;
314
  assign W_op_orhi = W_iw_op == 52;
315
  assign W_op_stwio = W_iw_op == 53;
316
  assign W_op_bltu = W_iw_op == 54;
317
  assign W_op_ldwio = W_iw_op == 55;
318
  assign W_op_rdprs = W_iw_op == 56;
319
  assign W_op_flushd = W_iw_op == 59;
320
  assign W_op_xorhi = W_iw_op == 60;
321
  assign W_op_rsv02 = W_iw_op == 2;
322
  assign W_op_rsv09 = W_iw_op == 9;
323
  assign W_op_rsv10 = W_iw_op == 10;
324
  assign W_op_rsv17 = W_iw_op == 17;
325
  assign W_op_rsv18 = W_iw_op == 18;
326
  assign W_op_rsv25 = W_iw_op == 25;
327
  assign W_op_rsv26 = W_iw_op == 26;
328
  assign W_op_rsv33 = W_iw_op == 33;
329
  assign W_op_rsv34 = W_iw_op == 34;
330
  assign W_op_rsv41 = W_iw_op == 41;
331
  assign W_op_rsv42 = W_iw_op == 42;
332
  assign W_op_rsv49 = W_iw_op == 49;
333
  assign W_op_rsv57 = W_iw_op == 57;
334
  assign W_op_rsv61 = W_iw_op == 61;
335
  assign W_op_rsv62 = W_iw_op == 62;
336
  assign W_op_rsv63 = W_iw_op == 63;
337
  assign W_op_eret = W_op_opx & (W_iw_opx == 1);
338
  assign W_op_roli = W_op_opx & (W_iw_opx == 2);
339
  assign W_op_rol = W_op_opx & (W_iw_opx == 3);
340
  assign W_op_flushp = W_op_opx & (W_iw_opx == 4);
341
  assign W_op_ret = W_op_opx & (W_iw_opx == 5);
342
  assign W_op_nor = W_op_opx & (W_iw_opx == 6);
343
  assign W_op_mulxuu = W_op_opx & (W_iw_opx == 7);
344
  assign W_op_cmpge = W_op_opx & (W_iw_opx == 8);
345
  assign W_op_bret = W_op_opx & (W_iw_opx == 9);
346
  assign W_op_ror = W_op_opx & (W_iw_opx == 11);
347
  assign W_op_flushi = W_op_opx & (W_iw_opx == 12);
348
  assign W_op_jmp = W_op_opx & (W_iw_opx == 13);
349
  assign W_op_and = W_op_opx & (W_iw_opx == 14);
350
  assign W_op_cmplt = W_op_opx & (W_iw_opx == 16);
351
  assign W_op_slli = W_op_opx & (W_iw_opx == 18);
352
  assign W_op_sll = W_op_opx & (W_iw_opx == 19);
353
  assign W_op_wrprs = W_op_opx & (W_iw_opx == 20);
354
  assign W_op_or = W_op_opx & (W_iw_opx == 22);
355
  assign W_op_mulxsu = W_op_opx & (W_iw_opx == 23);
356
  assign W_op_cmpne = W_op_opx & (W_iw_opx == 24);
357
  assign W_op_srli = W_op_opx & (W_iw_opx == 26);
358
  assign W_op_srl = W_op_opx & (W_iw_opx == 27);
359
  assign W_op_nextpc = W_op_opx & (W_iw_opx == 28);
360
  assign W_op_callr = W_op_opx & (W_iw_opx == 29);
361
  assign W_op_xor = W_op_opx & (W_iw_opx == 30);
362
  assign W_op_mulxss = W_op_opx & (W_iw_opx == 31);
363
  assign W_op_cmpeq = W_op_opx & (W_iw_opx == 32);
364
  assign W_op_divu = W_op_opx & (W_iw_opx == 36);
365
  assign W_op_div = W_op_opx & (W_iw_opx == 37);
366
  assign W_op_rdctl = W_op_opx & (W_iw_opx == 38);
367
  assign W_op_mul = W_op_opx & (W_iw_opx == 39);
368
  assign W_op_cmpgeu = W_op_opx & (W_iw_opx == 40);
369
  assign W_op_initi = W_op_opx & (W_iw_opx == 41);
370
  assign W_op_trap = W_op_opx & (W_iw_opx == 45);
371
  assign W_op_wrctl = W_op_opx & (W_iw_opx == 46);
372
  assign W_op_cmpltu = W_op_opx & (W_iw_opx == 48);
373
  assign W_op_add = W_op_opx & (W_iw_opx == 49);
374
  assign W_op_break = W_op_opx & (W_iw_opx == 52);
375
  assign W_op_hbreak = W_op_opx & (W_iw_opx == 53);
376
  assign W_op_sync = W_op_opx & (W_iw_opx == 54);
377
  assign W_op_sub = W_op_opx & (W_iw_opx == 57);
378
  assign W_op_srai = W_op_opx & (W_iw_opx == 58);
379
  assign W_op_sra = W_op_opx & (W_iw_opx == 59);
380
  assign W_op_intr = W_op_opx & (W_iw_opx == 61);
381
  assign W_op_crst = W_op_opx & (W_iw_opx == 62);
382
  assign W_op_rsvx00 = W_op_opx & (W_iw_opx == 0);
383
  assign W_op_rsvx10 = W_op_opx & (W_iw_opx == 10);
384
  assign W_op_rsvx15 = W_op_opx & (W_iw_opx == 15);
385
  assign W_op_rsvx17 = W_op_opx & (W_iw_opx == 17);
386
  assign W_op_rsvx21 = W_op_opx & (W_iw_opx == 21);
387
  assign W_op_rsvx25 = W_op_opx & (W_iw_opx == 25);
388
  assign W_op_rsvx33 = W_op_opx & (W_iw_opx == 33);
389
  assign W_op_rsvx34 = W_op_opx & (W_iw_opx == 34);
390
  assign W_op_rsvx35 = W_op_opx & (W_iw_opx == 35);
391
  assign W_op_rsvx42 = W_op_opx & (W_iw_opx == 42);
392
  assign W_op_rsvx43 = W_op_opx & (W_iw_opx == 43);
393
  assign W_op_rsvx44 = W_op_opx & (W_iw_opx == 44);
394
  assign W_op_rsvx47 = W_op_opx & (W_iw_opx == 47);
395
  assign W_op_rsvx50 = W_op_opx & (W_iw_opx == 50);
396
  assign W_op_rsvx51 = W_op_opx & (W_iw_opx == 51);
397
  assign W_op_rsvx55 = W_op_opx & (W_iw_opx == 55);
398
  assign W_op_rsvx56 = W_op_opx & (W_iw_opx == 56);
399
  assign W_op_rsvx60 = W_op_opx & (W_iw_opx == 60);
400
  assign W_op_rsvx63 = W_op_opx & (W_iw_opx == 63);
401
  assign W_op_opx = W_iw_op == 58;
402
  assign W_op_custom = W_iw_op == 50;
403
  always @(posedge clk or negedge reset_n)
404
    begin
405
      if (reset_n == 0)
406
          A_target_pcb <= 0;
407
      else if (A_en)
408
          A_target_pcb <= M_target_pcb;
409
    end
410
 
411
 
412
  always @(posedge clk or negedge reset_n)
413
    begin
414
      if (reset_n == 0)
415
          A_mem_baddr <= 0;
416
      else if (A_en)
417
          A_mem_baddr <= M_mem_baddr;
418
    end
419
 
420
 
421
  //Propagating 'X' data bits
422
  assign E_add_br_to_taken_history_filtered = E_add_br_to_taken_history_unfiltered;
423
 
424
  //Propagating 'X' data bits
425
  assign M_bht_wr_en_filtered = M_bht_wr_en_unfiltered;
426
 
427
  //Propagating 'X' data bits
428
  assign M_bht_wr_data_filtered = M_bht_wr_data_unfiltered;
429
 
430
  //Propagating 'X' data bits
431
  assign M_bht_ptr_filtered = M_bht_ptr_unfiltered;
432
 
433
  assign test_has_ended = 1'b0;
434
 
435
//synthesis translate_off
436
//////////////// SIMULATION-ONLY CONTENTS
437
  //Clearing 'X' data bits
438
  assign A_wr_data_unfiltered_0_is_x = ^(A_wr_data_unfiltered[0]) === 1'bx;
439
 
440
  assign A_wr_data_filtered[0] = (A_wr_data_unfiltered_0_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[0];
441
  assign A_wr_data_unfiltered_1_is_x = ^(A_wr_data_unfiltered[1]) === 1'bx;
442
  assign A_wr_data_filtered[1] = (A_wr_data_unfiltered_1_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[1];
443
  assign A_wr_data_unfiltered_2_is_x = ^(A_wr_data_unfiltered[2]) === 1'bx;
444
  assign A_wr_data_filtered[2] = (A_wr_data_unfiltered_2_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[2];
445
  assign A_wr_data_unfiltered_3_is_x = ^(A_wr_data_unfiltered[3]) === 1'bx;
446
  assign A_wr_data_filtered[3] = (A_wr_data_unfiltered_3_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[3];
447
  assign A_wr_data_unfiltered_4_is_x = ^(A_wr_data_unfiltered[4]) === 1'bx;
448
  assign A_wr_data_filtered[4] = (A_wr_data_unfiltered_4_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[4];
449
  assign A_wr_data_unfiltered_5_is_x = ^(A_wr_data_unfiltered[5]) === 1'bx;
450
  assign A_wr_data_filtered[5] = (A_wr_data_unfiltered_5_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[5];
451
  assign A_wr_data_unfiltered_6_is_x = ^(A_wr_data_unfiltered[6]) === 1'bx;
452
  assign A_wr_data_filtered[6] = (A_wr_data_unfiltered_6_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[6];
453
  assign A_wr_data_unfiltered_7_is_x = ^(A_wr_data_unfiltered[7]) === 1'bx;
454
  assign A_wr_data_filtered[7] = (A_wr_data_unfiltered_7_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[7];
455
  assign A_wr_data_unfiltered_8_is_x = ^(A_wr_data_unfiltered[8]) === 1'bx;
456
  assign A_wr_data_filtered[8] = (A_wr_data_unfiltered_8_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[8];
457
  assign A_wr_data_unfiltered_9_is_x = ^(A_wr_data_unfiltered[9]) === 1'bx;
458
  assign A_wr_data_filtered[9] = (A_wr_data_unfiltered_9_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[9];
459
  assign A_wr_data_unfiltered_10_is_x = ^(A_wr_data_unfiltered[10]) === 1'bx;
460
  assign A_wr_data_filtered[10] = (A_wr_data_unfiltered_10_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[10];
461
  assign A_wr_data_unfiltered_11_is_x = ^(A_wr_data_unfiltered[11]) === 1'bx;
462
  assign A_wr_data_filtered[11] = (A_wr_data_unfiltered_11_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[11];
463
  assign A_wr_data_unfiltered_12_is_x = ^(A_wr_data_unfiltered[12]) === 1'bx;
464
  assign A_wr_data_filtered[12] = (A_wr_data_unfiltered_12_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[12];
465
  assign A_wr_data_unfiltered_13_is_x = ^(A_wr_data_unfiltered[13]) === 1'bx;
466
  assign A_wr_data_filtered[13] = (A_wr_data_unfiltered_13_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[13];
467
  assign A_wr_data_unfiltered_14_is_x = ^(A_wr_data_unfiltered[14]) === 1'bx;
468
  assign A_wr_data_filtered[14] = (A_wr_data_unfiltered_14_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[14];
469
  assign A_wr_data_unfiltered_15_is_x = ^(A_wr_data_unfiltered[15]) === 1'bx;
470
  assign A_wr_data_filtered[15] = (A_wr_data_unfiltered_15_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[15];
471
  assign A_wr_data_unfiltered_16_is_x = ^(A_wr_data_unfiltered[16]) === 1'bx;
472
  assign A_wr_data_filtered[16] = (A_wr_data_unfiltered_16_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[16];
473
  assign A_wr_data_unfiltered_17_is_x = ^(A_wr_data_unfiltered[17]) === 1'bx;
474
  assign A_wr_data_filtered[17] = (A_wr_data_unfiltered_17_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[17];
475
  assign A_wr_data_unfiltered_18_is_x = ^(A_wr_data_unfiltered[18]) === 1'bx;
476
  assign A_wr_data_filtered[18] = (A_wr_data_unfiltered_18_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[18];
477
  assign A_wr_data_unfiltered_19_is_x = ^(A_wr_data_unfiltered[19]) === 1'bx;
478
  assign A_wr_data_filtered[19] = (A_wr_data_unfiltered_19_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[19];
479
  assign A_wr_data_unfiltered_20_is_x = ^(A_wr_data_unfiltered[20]) === 1'bx;
480
  assign A_wr_data_filtered[20] = (A_wr_data_unfiltered_20_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[20];
481
  assign A_wr_data_unfiltered_21_is_x = ^(A_wr_data_unfiltered[21]) === 1'bx;
482
  assign A_wr_data_filtered[21] = (A_wr_data_unfiltered_21_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[21];
483
  assign A_wr_data_unfiltered_22_is_x = ^(A_wr_data_unfiltered[22]) === 1'bx;
484
  assign A_wr_data_filtered[22] = (A_wr_data_unfiltered_22_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[22];
485
  assign A_wr_data_unfiltered_23_is_x = ^(A_wr_data_unfiltered[23]) === 1'bx;
486
  assign A_wr_data_filtered[23] = (A_wr_data_unfiltered_23_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[23];
487
  assign A_wr_data_unfiltered_24_is_x = ^(A_wr_data_unfiltered[24]) === 1'bx;
488
  assign A_wr_data_filtered[24] = (A_wr_data_unfiltered_24_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[24];
489
  assign A_wr_data_unfiltered_25_is_x = ^(A_wr_data_unfiltered[25]) === 1'bx;
490
  assign A_wr_data_filtered[25] = (A_wr_data_unfiltered_25_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[25];
491
  assign A_wr_data_unfiltered_26_is_x = ^(A_wr_data_unfiltered[26]) === 1'bx;
492
  assign A_wr_data_filtered[26] = (A_wr_data_unfiltered_26_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[26];
493
  assign A_wr_data_unfiltered_27_is_x = ^(A_wr_data_unfiltered[27]) === 1'bx;
494
  assign A_wr_data_filtered[27] = (A_wr_data_unfiltered_27_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[27];
495
  assign A_wr_data_unfiltered_28_is_x = ^(A_wr_data_unfiltered[28]) === 1'bx;
496
  assign A_wr_data_filtered[28] = (A_wr_data_unfiltered_28_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[28];
497
  assign A_wr_data_unfiltered_29_is_x = ^(A_wr_data_unfiltered[29]) === 1'bx;
498
  assign A_wr_data_filtered[29] = (A_wr_data_unfiltered_29_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[29];
499
  assign A_wr_data_unfiltered_30_is_x = ^(A_wr_data_unfiltered[30]) === 1'bx;
500
  assign A_wr_data_filtered[30] = (A_wr_data_unfiltered_30_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[30];
501
  assign A_wr_data_unfiltered_31_is_x = ^(A_wr_data_unfiltered[31]) === 1'bx;
502
  assign A_wr_data_filtered[31] = (A_wr_data_unfiltered_31_is_x & (A_ctrl_ld_non_bypass)) ? 1'b0 : A_wr_data_unfiltered[31];
503
  always @(posedge clk)
504
    begin
505
      if (reset_n)
506
          if (^(W_wr_dst_reg) === 1'bx)
507
            begin
508
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/W_wr_dst_reg is 'x'\n", $time);
509
              $stop;
510
            end
511
    end
512
 
513
 
514
  always @(posedge clk or negedge reset_n)
515
    begin
516
      if (reset_n == 0)
517
        begin
518
        end
519
      else if (W_wr_dst_reg)
520
          if (^(W_dst_regnum) === 1'bx)
521
            begin
522
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/W_dst_regnum is 'x'\n", $time);
523
              $stop;
524
            end
525
    end
526
 
527
 
528
  always @(posedge clk)
529
    begin
530
      if (reset_n)
531
          if (^(W_valid) === 1'bx)
532
            begin
533
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/W_valid is 'x'\n", $time);
534
              $stop;
535
            end
536
    end
537
 
538
 
539
  always @(posedge clk or negedge reset_n)
540
    begin
541
      if (reset_n == 0)
542
        begin
543
        end
544
      else if (W_valid)
545
          if (^(W_pcb) === 1'bx)
546
            begin
547
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/W_pcb is 'x'\n", $time);
548
              $stop;
549
            end
550
    end
551
 
552
 
553
  always @(posedge clk or negedge reset_n)
554
    begin
555
      if (reset_n == 0)
556
        begin
557
        end
558
      else if (W_valid)
559
          if (^(W_iw) === 1'bx)
560
            begin
561
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/W_iw is 'x'\n", $time);
562
              $stop;
563
            end
564
    end
565
 
566
 
567
  always @(posedge clk)
568
    begin
569
      if (reset_n)
570
          if (^(A_en) === 1'bx)
571
            begin
572
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/A_en is 'x'\n", $time);
573
              $stop;
574
            end
575
    end
576
 
577
 
578
  always @(posedge clk)
579
    begin
580
      if (reset_n)
581
          if (^(E_valid) === 1'bx)
582
            begin
583
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/E_valid is 'x'\n", $time);
584
              $stop;
585
            end
586
    end
587
 
588
 
589
  always @(posedge clk)
590
    begin
591
      if (reset_n)
592
          if (^(M_valid) === 1'bx)
593
            begin
594
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/M_valid is 'x'\n", $time);
595
              $stop;
596
            end
597
    end
598
 
599
 
600
  always @(posedge clk)
601
    begin
602
      if (reset_n)
603
          if (^(A_valid) === 1'bx)
604
            begin
605
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/A_valid is 'x'\n", $time);
606
              $stop;
607
            end
608
    end
609
 
610
 
611
  always @(posedge clk or negedge reset_n)
612
    begin
613
      if (reset_n == 0)
614
        begin
615
        end
616
      else if (A_valid & A_en & A_wr_dst_reg)
617
          if (^(A_wr_data_unfiltered) === 1'bx)
618
            begin
619
              $write("%0d ns: WARNING: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/A_wr_data_unfiltered is 'x'\n", $time);
620
            end
621
    end
622
 
623
 
624
  always @(posedge clk)
625
    begin
626
      if (reset_n)
627
          if (^(A_status_reg) === 1'bx)
628
            begin
629
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/A_status_reg is 'x'\n", $time);
630
              $stop;
631
            end
632
    end
633
 
634
 
635
  always @(posedge clk)
636
    begin
637
      if (reset_n)
638
          if (^(A_estatus_reg) === 1'bx)
639
            begin
640
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/A_estatus_reg is 'x'\n", $time);
641
              $stop;
642
            end
643
    end
644
 
645
 
646
  always @(posedge clk)
647
    begin
648
      if (reset_n)
649
          if (^(A_bstatus_reg) === 1'bx)
650
            begin
651
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/A_bstatus_reg is 'x'\n", $time);
652
              $stop;
653
            end
654
    end
655
 
656
 
657
  always @(posedge clk)
658
    begin
659
      if (reset_n)
660
          if (^(i_read) === 1'bx)
661
            begin
662
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/i_read is 'x'\n", $time);
663
              $stop;
664
            end
665
    end
666
 
667
 
668
  always @(posedge clk or negedge reset_n)
669
    begin
670
      if (reset_n == 0)
671
        begin
672
        end
673
      else if (i_read)
674
          if (^(i_address) === 1'bx)
675
            begin
676
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/i_address is 'x'\n", $time);
677
              $stop;
678
            end
679
    end
680
 
681
 
682
  always @(posedge clk)
683
    begin
684
      if (reset_n)
685
          if (^(i_readdatavalid) === 1'bx)
686
            begin
687
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/i_readdatavalid is 'x'\n", $time);
688
              $stop;
689
            end
690
    end
691
 
692
 
693
  always @(posedge clk)
694
    begin
695
      if (reset_n)
696
          if (^(d_write) === 1'bx)
697
            begin
698
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/d_write is 'x'\n", $time);
699
              $stop;
700
            end
701
    end
702
 
703
 
704
  always @(posedge clk or negedge reset_n)
705
    begin
706
      if (reset_n == 0)
707
        begin
708
        end
709
      else if (d_write)
710
          if (^(d_byteenable) === 1'bx)
711
            begin
712
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/d_byteenable is 'x'\n", $time);
713
              $stop;
714
            end
715
    end
716
 
717
 
718
  always @(posedge clk or negedge reset_n)
719
    begin
720
      if (reset_n == 0)
721
        begin
722
        end
723
      else if (d_write | d_read)
724
          if (^(d_address) === 1'bx)
725
            begin
726
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/d_address is 'x'\n", $time);
727
              $stop;
728
            end
729
    end
730
 
731
 
732
  always @(posedge clk)
733
    begin
734
      if (reset_n)
735
          if (^(d_read) === 1'bx)
736
            begin
737
              $write("%0d ns: ERROR: DE0_NANO_SOC_QSYS_nios2_qsys_test_bench/d_read is 'x'\n", $time);
738
              $stop;
739
            end
740
    end
741
 
742
 
743
 
744
//////////////// END SIMULATION-ONLY CONTENTS
745
 
746
//synthesis translate_on
747
//synthesis read_comments_as_HDL on
748
//  
749
//  assign A_wr_data_filtered = A_wr_data_unfiltered;
750
//
751
//synthesis read_comments_as_HDL off
752
 
753
endmodule
754
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.