OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_ADC/] [ip/] [ADC_LTC2308_FIFO/] [adc_data_fifo_bb.v] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
// megafunction wizard: %FIFO%VBB%
2
// GENERATION: STANDARD
3
// VERSION: WM1.0
4
// MODULE: dcfifo 
5
 
6
// ============================================================
7
// File Name: adc_data_fifo.v
8
// Megafunction Name(s):
9
//                      dcfifo
10
//
11
// Simulation Library Files(s):
12
//                      altera_mf
13
// ============================================================
14
// ************************************************************
15
// THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
16
//
17
// 13.0.1 Build 232 06/12/2013 SP 1.dp1 SJ Full Version
18
// ************************************************************
19
 
20
//Copyright (C) 1991-2013 Altera Corporation
21
//Your use of Altera Corporation's design tools, logic functions 
22
//and other software and tools, and its AMPP partner logic 
23
//functions, and any output files from any of the foregoing 
24
//(including device programming or simulation files), and any 
25
//associated documentation or information are expressly subject 
26
//to the terms and conditions of the Altera Program License 
27
//Subscription Agreement, Altera MegaCore Function License 
28
//Agreement, or other applicable license agreement, including, 
29
//without limitation, that your use is for the sole purpose of 
30
//programming logic devices manufactured by Altera and sold by 
31
//Altera or its authorized distributors.  Please refer to the 
32
//applicable agreement for further details.
33
 
34
module adc_data_fifo (
35
        aclr,
36
        data,
37
        rdclk,
38
        rdreq,
39
        wrclk,
40
        wrreq,
41
        q,
42
        rdempty,
43
        wrfull);
44
 
45
        input     aclr;
46
        input   [11:0]  data;
47
        input     rdclk;
48
        input     rdreq;
49
        input     wrclk;
50
        input     wrreq;
51
        output  [11:0]  q;
52
        output    rdempty;
53
        output    wrfull;
54
`ifndef ALTERA_RESERVED_QIS
55
// synopsys translate_off
56
`endif
57
        tri0      aclr;
58
`ifndef ALTERA_RESERVED_QIS
59
// synopsys translate_on
60
`endif
61
 
62
endmodule
63
 
64
// ============================================================
65
// CNX file retrieval info
66
// ============================================================
67
// Retrieval info: PRIVATE: AlmostEmpty NUMERIC "0"
68
// Retrieval info: PRIVATE: AlmostEmptyThr NUMERIC "-1"
69
// Retrieval info: PRIVATE: AlmostFull NUMERIC "0"
70
// Retrieval info: PRIVATE: AlmostFullThr NUMERIC "-1"
71
// Retrieval info: PRIVATE: CLOCKS_ARE_SYNCHRONIZED NUMERIC "0"
72
// Retrieval info: PRIVATE: Clock NUMERIC "4"
73
// Retrieval info: PRIVATE: Depth NUMERIC "2048"
74
// Retrieval info: PRIVATE: Empty NUMERIC "1"
75
// Retrieval info: PRIVATE: Full NUMERIC "1"
76
// Retrieval info: PRIVATE: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
77
// Retrieval info: PRIVATE: LE_BasedFIFO NUMERIC "0"
78
// Retrieval info: PRIVATE: LegacyRREQ NUMERIC "0"
79
// Retrieval info: PRIVATE: MAX_DEPTH_BY_9 NUMERIC "0"
80
// Retrieval info: PRIVATE: OVERFLOW_CHECKING NUMERIC "0"
81
// Retrieval info: PRIVATE: Optimize NUMERIC "0"
82
// Retrieval info: PRIVATE: RAM_BLOCK_TYPE NUMERIC "0"
83
// Retrieval info: PRIVATE: SYNTH_WRAPPER_GEN_POSTFIX STRING "0"
84
// Retrieval info: PRIVATE: UNDERFLOW_CHECKING NUMERIC "0"
85
// Retrieval info: PRIVATE: UsedW NUMERIC "1"
86
// Retrieval info: PRIVATE: Width NUMERIC "12"
87
// Retrieval info: PRIVATE: dc_aclr NUMERIC "1"
88
// Retrieval info: PRIVATE: diff_widths NUMERIC "0"
89
// Retrieval info: PRIVATE: msb_usedw NUMERIC "0"
90
// Retrieval info: PRIVATE: output_width NUMERIC "12"
91
// Retrieval info: PRIVATE: rsEmpty NUMERIC "1"
92
// Retrieval info: PRIVATE: rsFull NUMERIC "0"
93
// Retrieval info: PRIVATE: rsUsedW NUMERIC "0"
94
// Retrieval info: PRIVATE: sc_aclr NUMERIC "0"
95
// Retrieval info: PRIVATE: sc_sclr NUMERIC "0"
96
// Retrieval info: PRIVATE: wsEmpty NUMERIC "0"
97
// Retrieval info: PRIVATE: wsFull NUMERIC "1"
98
// Retrieval info: PRIVATE: wsUsedW NUMERIC "0"
99
// Retrieval info: LIBRARY: altera_mf altera_mf.altera_mf_components.all
100
// Retrieval info: CONSTANT: INTENDED_DEVICE_FAMILY STRING "Cyclone V"
101
// Retrieval info: CONSTANT: LPM_NUMWORDS NUMERIC "2048"
102
// Retrieval info: CONSTANT: LPM_SHOWAHEAD STRING "ON"
103
// Retrieval info: CONSTANT: LPM_TYPE STRING "dcfifo"
104
// Retrieval info: CONSTANT: LPM_WIDTH NUMERIC "12"
105
// Retrieval info: CONSTANT: LPM_WIDTHU NUMERIC "11"
106
// Retrieval info: CONSTANT: OVERFLOW_CHECKING STRING "ON"
107
// Retrieval info: CONSTANT: RDSYNC_DELAYPIPE NUMERIC "4"
108
// Retrieval info: CONSTANT: READ_ACLR_SYNCH STRING "OFF"
109
// Retrieval info: CONSTANT: UNDERFLOW_CHECKING STRING "ON"
110
// Retrieval info: CONSTANT: USE_EAB STRING "ON"
111
// Retrieval info: CONSTANT: WRITE_ACLR_SYNCH STRING "OFF"
112
// Retrieval info: CONSTANT: WRSYNC_DELAYPIPE NUMERIC "4"
113
// Retrieval info: USED_PORT: aclr 0 0 0 0 INPUT GND "aclr"
114
// Retrieval info: USED_PORT: data 0 0 12 0 INPUT NODEFVAL "data[11..0]"
115
// Retrieval info: USED_PORT: q 0 0 12 0 OUTPUT NODEFVAL "q[11..0]"
116
// Retrieval info: USED_PORT: rdclk 0 0 0 0 INPUT NODEFVAL "rdclk"
117
// Retrieval info: USED_PORT: rdempty 0 0 0 0 OUTPUT NODEFVAL "rdempty"
118
// Retrieval info: USED_PORT: rdreq 0 0 0 0 INPUT NODEFVAL "rdreq"
119
// Retrieval info: USED_PORT: wrclk 0 0 0 0 INPUT NODEFVAL "wrclk"
120
// Retrieval info: USED_PORT: wrfull 0 0 0 0 OUTPUT NODEFVAL "wrfull"
121
// Retrieval info: USED_PORT: wrreq 0 0 0 0 INPUT NODEFVAL "wrreq"
122
// Retrieval info: CONNECT: @aclr 0 0 0 0 aclr 0 0 0 0
123
// Retrieval info: CONNECT: @data 0 0 12 0 data 0 0 12 0
124
// Retrieval info: CONNECT: @rdclk 0 0 0 0 rdclk 0 0 0 0
125
// Retrieval info: CONNECT: @rdreq 0 0 0 0 rdreq 0 0 0 0
126
// Retrieval info: CONNECT: @wrclk 0 0 0 0 wrclk 0 0 0 0
127
// Retrieval info: CONNECT: @wrreq 0 0 0 0 wrreq 0 0 0 0
128
// Retrieval info: CONNECT: q 0 0 12 0 @q 0 0 12 0
129
// Retrieval info: CONNECT: rdempty 0 0 0 0 @rdempty 0 0 0 0
130
// Retrieval info: CONNECT: wrfull 0 0 0 0 @wrfull 0 0 0 0
131
// Retrieval info: GEN_FILE: TYPE_NORMAL adc_data_fifo.v TRUE
132
// Retrieval info: GEN_FILE: TYPE_NORMAL adc_data_fifo.inc FALSE
133
// Retrieval info: GEN_FILE: TYPE_NORMAL adc_data_fifo.cmp FALSE
134
// Retrieval info: GEN_FILE: TYPE_NORMAL adc_data_fifo.bsf FALSE
135
// Retrieval info: GEN_FILE: TYPE_NORMAL adc_data_fifo_inst.v FALSE
136
// Retrieval info: GEN_FILE: TYPE_NORMAL adc_data_fifo_bb.v TRUE
137
// Retrieval info: LIB_FILE: altera_mf

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.