OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [DE0_NANO_SOC_Default/] [demo_batch/] [test.bat] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
@echo off
2
 @ REM ######################################
3
 @ REM # Variable to ignore  in DOS
4
 @ REM # line endings
5
 @ set SHELLOPTS=igncr
6
 
7
@ REM ######################################
8
 @ REM # Variable to ignore mixed paths
9
 @ REM # i.e. G:/$SOPC_KIT_NIOS2/bin
10
 @ set CYGWIN=nodosfilewarning
11
 
12
 
13
@set QUARTUS_BIN=%QUARTUS_ROOTDIR%\\bin
14
 @if exist %QUARTUS_BIN%\\quartus_pgm.exe (goto DownLoad)
15
 
16
@set QUARTUS_BIN=%QUARTUS_ROOTDIR%\\bin64
17
 @if exist %QUARTUS_BIN%\\quartus_pgm.exe (goto DownLoad)
18
 
19
:: Prepare for future use (if exes are in bin32)
20
 @set QUARTUS_BIN=%QUARTUS_ROOTDIR%\\bin32
21
 
22
:DownLoad
23
 set project.sof=DE0_NANO_SOC_Default.sof
24
 set project.jic=DE0_NANO_SOC_Default.jic
25
 set device_sfl.sof=sfl_enhanced_01_02d010dd.sof
26
 goto main
27
 
28
:main
29
 echo **********************************
30
 echo Makesure MSEL[4:0] is set to "10010"
31
echo Plesase choose your operation
32
 echo "1" for programming .sof to FPGA.
33
 echo "2" for converting .sof to .jic
34
echo "3" for programming .jic to EPCS.
35
 echo "4" for erasing .jic from EPCS.
36
 echo **********************************
37
 choice /C 1234 /M "Please enter your choise:"
38
if errorlevel 4 goto d
39
if errorlevel 3 goto c
40
 if errorlevel 2 goto b
41
 if errorlevel 1 goto a
42
 
43
 
44
:a
45
 echo ===========================================================
46
 echo "Progrming .sof to FPGA"
47
 echo ===========================================================
48
 %QUARTUS_BIN%\\quartus_pgm.exe -m jtag -c 1 -o "p;%project.sof%@2"
49
 @ set SOPC_BUILDER_PATH=%SOPC_KIT_NIOS2%+%SOPC_BUILDER_PATH%
50
 goto end
51
 
52
 
53
:b
54
echo ===========================================================
55
 echo "Convert .sof to .jic"
56
 echo ===========================================================
57
 %QUARTUS_BIN%\\quartus_cpf -c -d epcs128 -s 5csema4 %project.sof% %project.jic%
58
 goto end
59
 
60
:c
61
 echo ===========================================================
62
 echo "Programming EPCS with .jic"
63
 echo ===========================================================
64
 %QUARTUS_BIN%\\quartus_pgm.exe -m jtag -c 1 -o "p;%device_sfl.sof%@2"
65
 %QUARTUS_BIN%\\quartus_pgm.exe -m jtag -c 1 -o "p;%project.jic%@2"
66
 goto end
67
 
68
:d
69
 echo ===========================================================
70
 echo "Erasing EPCS with .jic"
71
 echo ===========================================================
72
 %QUARTUS_BIN%\\quartus_pgm.exe -m jtag -c 1 -o "p;%device_sfl.sof%@2"
73
 %QUARTUS_BIN%\\quartus_pgm.exe -m jtag -c 1 -o "r;%project.jic%@2"
74
 goto end
75
 
76
:end
77
 echo Job Done!!
78
 goto main

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.