OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [my_first_fpga/] [my_first_fpga.qsf] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
# -------------------------------------------------------------------------- #
2
#
3
# Copyright (C) 1991-2014 Altera Corporation. All rights reserved.
4
# Your use of Altera Corporation's design tools, logic functions
5
# and other software and tools, and its AMPP partner logic
6
# functions, and any output files from any of the foregoing
7
# (including device programming or simulation files), and any
8
# associated documentation or information are expressly subject
9
# to the terms and conditions of the Altera Program License
10
# Subscription Agreement, the Altera Quartus II License Agreement,
11
# the Altera MegaCore Function License Agreement, or other
12
# applicable license agreement, including, without limitation,
13
# that your use is for the sole purpose of programming logic
14
# devices manufactured by Altera and sold by Altera or its
15
# authorized distributors.  Please refer to the applicable
16
# agreement for further details.
17
#
18
# -------------------------------------------------------------------------- #
19
#
20
# Quartus II 64-Bit
21
# Version 14.1.0 Build 186 12/03/2014 SJ Full Version
22
# Date created = 14:07:42  December 24, 2014
23
#
24
# -------------------------------------------------------------------------- #
25
#
26
# Notes:
27
#
28
# 1) The default values for assignments are stored in the file:
29
#               my_first_fpga_assignment_defaults.qdf
30
#    If this file doesn't exist, see file:
31
#               assignment_defaults.qdf
32
#
33
# 2) Altera recommends that you do not modify this file. This
34
#    file is updated automatically by the Quartus II software
35
#    and any changes you make may be lost or overwritten.
36
#
37
# -------------------------------------------------------------------------- #
38
 
39
 
40
set_global_assignment -name FAMILY "Cyclone V"
41
set_global_assignment -name DEVICE 5CSEMA4U23C6
42
set_global_assignment -name TOP_LEVEL_ENTITY my_first_fpga
43
set_global_assignment -name ORIGINAL_QUARTUS_VERSION 14.1.0
44
set_global_assignment -name PROJECT_CREATION_TIME_DATE "14:07:42  DECEMBER 24, 2014"
45
set_global_assignment -name LAST_QUARTUS_VERSION 14.1.0
46
set_global_assignment -name PROJECT_OUTPUT_DIRECTORY output_files
47
set_global_assignment -name MIN_CORE_JUNCTION_TEMP 0
48
set_global_assignment -name MAX_CORE_JUNCTION_TEMP 85
49
set_global_assignment -name ERROR_CHECK_FREQUENCY_DIVISOR 256
50
set_global_assignment -name BDF_FILE my_first_fpga.bdf
51
set_global_assignment -name VERILOG_FILE simple_counter.v
52
set_global_assignment -name PARTITION_NETLIST_TYPE SOURCE -section_id Top
53
set_global_assignment -name PARTITION_FITTER_PRESERVATION_LEVEL PLACEMENT_AND_ROUTING -section_id Top
54
set_global_assignment -name PARTITION_COLOR 16764057 -section_id Top
55
set_global_assignment -name QIP_FILE pll.qip
56
set_global_assignment -name SIP_FILE pll.sip
57
set_global_assignment -name QIP_FILE counter_bus_mux.qip
58
set_global_assignment -name STRATIX_DEVICE_IO_STANDARD "2.5 V"
59
set_location_assignment PIN_V11 -to CLOCK_50
60
set_location_assignment PIN_AH16 -to KEY[1]
61
set_location_assignment PIN_AH17 -to KEY[0]
62
set_location_assignment PIN_V15 -to LED[3]
63
set_location_assignment PIN_V16 -to LED[2]
64
set_location_assignment PIN_AA24 -to LED[1]
65
set_location_assignment PIN_W15 -to LED[0]
66
set_global_assignment -name SDC_FILE my_first_fpga.sdc
67
set_global_assignment -name ENABLE_OCT_DONE OFF
68
set_global_assignment -name USE_CONFIGURATION_DEVICE ON
69
set_global_assignment -name CRC_ERROR_OPEN_DRAIN ON
70
set_global_assignment -name RESERVE_ALL_UNUSED_PINS_WEAK_PULLUP "AS INPUT TRI-STATED"
71
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -rise
72
set_global_assignment -name OUTPUT_IO_TIMING_NEAR_END_VMEAS "HALF VCCIO" -fall
73
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -rise
74
set_global_assignment -name OUTPUT_IO_TIMING_FAR_END_VMEAS "HALF SIGNAL SWING" -fall
75
set_global_assignment -name ACTIVE_SERIAL_CLOCK FREQ_100MHZ
76
set_instance_assignment -name PARTITION_HIERARCHY root_partition -to | -section_id Top

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.