OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [my_first_fpga/] [pll.v] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
// megafunction wizard: %Altera PLL v14.1%
2
// GENERATION: XML
3
// pll.v
4
 
5
// Generated using ACDS version 14.1 186 at 2014.12.24.17:11:16
6
 
7
`timescale 1 ps / 1 ps
8
module pll (
9
                input  wire  refclk,   //  refclk.clk
10
                input  wire  rst,      //   reset.reset
11
                output wire  outclk_0  // outclk0.clk
12
        );
13
 
14
        pll_0002 pll_inst (
15
                .refclk   (refclk),   //  refclk.clk
16
                .rst      (rst),      //   reset.reset
17
                .outclk_0 (outclk_0), // outclk0.clk
18
                .locked   ()          // (terminated)
19
        );
20
 
21
endmodule
22
// Retrieval info: <?xml version="1.0"?>
23
//<!--
24
//      Generated by Altera MegaWizard Launcher Utility version 1.0
25
//      ************************************************************
26
//      THIS IS A WIZARD-GENERATED FILE. DO NOT EDIT THIS FILE!
27
//      ************************************************************
28
//      Copyright (C) 1991-2014 Altera Corporation
29
//      Any megafunction design, and related net list (encrypted or decrypted),
30
//      support information, device programming or simulation file, and any other
31
//      associated documentation or information provided by Altera or a partner
32
//      under Altera's Megafunction Partnership Program may be used only to
33
//      program PLD devices (but not masked PLD devices) from Altera.  Any other
34
//      use of such megafunction design, net list, support information, device
35
//      programming or simulation file, or any other related documentation or
36
//      information is prohibited for any other purpose, including, but not
37
//      limited to modification, reverse engineering, de-compiling, or use with
38
//      any other silicon devices, unless such use is explicitly licensed under
39
//      a separate agreement with Altera or a megafunction partner.  Title to
40
//      the intellectual property, including patents, copyrights, trademarks,
41
//      trade secrets, or maskworks, embodied in any such megafunction design,
42
//      net list, support information, device programming or simulation file, or
43
//      any other related documentation or information provided by Altera or a
44
//      megafunction partner, remains with Altera, the megafunction partner, or
45
//      their respective licensors.  No other licenses, including any licenses
46
//      needed under any third party's intellectual property, are provided herein.
47
//-->
48
// Retrieval info: <instance entity-name="altera_pll" version="14.1" >
49
// Retrieval info:      <generic name="debug_print_output" value="false" />
50
// Retrieval info:      <generic name="debug_use_rbc_taf_method" value="false" />
51
// Retrieval info:      <generic name="device_family" value="Cyclone V" />
52
// Retrieval info:      <generic name="device" value="Unknown" />
53
// Retrieval info:      <generic name="gui_device_speed_grade" value="1" />
54
// Retrieval info:      <generic name="gui_pll_mode" value="Integer-N PLL" />
55
// Retrieval info:      <generic name="gui_reference_clock_frequency" value="50.0" />
56
// Retrieval info:      <generic name="gui_channel_spacing" value="0.0" />
57
// Retrieval info:      <generic name="gui_operation_mode" value="normal" />
58
// Retrieval info:      <generic name="gui_feedback_clock" value="Global Clock" />
59
// Retrieval info:      <generic name="gui_fractional_cout" value="32" />
60
// Retrieval info:      <generic name="gui_dsm_out_sel" value="1st_order" />
61
// Retrieval info:      <generic name="gui_use_locked" value="false" />
62
// Retrieval info:      <generic name="gui_en_adv_params" value="false" />
63
// Retrieval info:      <generic name="gui_number_of_clocks" value="1" />
64
// Retrieval info:      <generic name="gui_multiply_factor" value="1" />
65
// Retrieval info:      <generic name="gui_frac_multiply_factor" value="1" />
66
// Retrieval info:      <generic name="gui_divide_factor_n" value="1" />
67
// Retrieval info:      <generic name="gui_cascade_counter0" value="false" />
68
// Retrieval info:      <generic name="gui_output_clock_frequency0" value="5.0" />
69
// Retrieval info:      <generic name="gui_divide_factor_c0" value="1" />
70
// Retrieval info:      <generic name="gui_actual_output_clock_frequency0" value="0 MHz" />
71
// Retrieval info:      <generic name="gui_ps_units0" value="ps" />
72
// Retrieval info:      <generic name="gui_phase_shift0" value="0" />
73
// Retrieval info:      <generic name="gui_phase_shift_deg0" value="0.0" />
74
// Retrieval info:      <generic name="gui_actual_phase_shift0" value="0" />
75
// Retrieval info:      <generic name="gui_duty_cycle0" value="50" />
76
// Retrieval info:      <generic name="gui_cascade_counter1" value="false" />
77
// Retrieval info:      <generic name="gui_output_clock_frequency1" value="100.0" />
78
// Retrieval info:      <generic name="gui_divide_factor_c1" value="1" />
79
// Retrieval info:      <generic name="gui_actual_output_clock_frequency1" value="0 MHz" />
80
// Retrieval info:      <generic name="gui_ps_units1" value="ps" />
81
// Retrieval info:      <generic name="gui_phase_shift1" value="0" />
82
// Retrieval info:      <generic name="gui_phase_shift_deg1" value="0.0" />
83
// Retrieval info:      <generic name="gui_actual_phase_shift1" value="0" />
84
// Retrieval info:      <generic name="gui_duty_cycle1" value="50" />
85
// Retrieval info:      <generic name="gui_cascade_counter2" value="false" />
86
// Retrieval info:      <generic name="gui_output_clock_frequency2" value="100.0" />
87
// Retrieval info:      <generic name="gui_divide_factor_c2" value="1" />
88
// Retrieval info:      <generic name="gui_actual_output_clock_frequency2" value="0 MHz" />
89
// Retrieval info:      <generic name="gui_ps_units2" value="ps" />
90
// Retrieval info:      <generic name="gui_phase_shift2" value="0" />
91
// Retrieval info:      <generic name="gui_phase_shift_deg2" value="0.0" />
92
// Retrieval info:      <generic name="gui_actual_phase_shift2" value="0" />
93
// Retrieval info:      <generic name="gui_duty_cycle2" value="50" />
94
// Retrieval info:      <generic name="gui_cascade_counter3" value="false" />
95
// Retrieval info:      <generic name="gui_output_clock_frequency3" value="100.0" />
96
// Retrieval info:      <generic name="gui_divide_factor_c3" value="1" />
97
// Retrieval info:      <generic name="gui_actual_output_clock_frequency3" value="0 MHz" />
98
// Retrieval info:      <generic name="gui_ps_units3" value="ps" />
99
// Retrieval info:      <generic name="gui_phase_shift3" value="0" />
100
// Retrieval info:      <generic name="gui_phase_shift_deg3" value="0.0" />
101
// Retrieval info:      <generic name="gui_actual_phase_shift3" value="0" />
102
// Retrieval info:      <generic name="gui_duty_cycle3" value="50" />
103
// Retrieval info:      <generic name="gui_cascade_counter4" value="false" />
104
// Retrieval info:      <generic name="gui_output_clock_frequency4" value="100.0" />
105
// Retrieval info:      <generic name="gui_divide_factor_c4" value="1" />
106
// Retrieval info:      <generic name="gui_actual_output_clock_frequency4" value="0 MHz" />
107
// Retrieval info:      <generic name="gui_ps_units4" value="ps" />
108
// Retrieval info:      <generic name="gui_phase_shift4" value="0" />
109
// Retrieval info:      <generic name="gui_phase_shift_deg4" value="0.0" />
110
// Retrieval info:      <generic name="gui_actual_phase_shift4" value="0" />
111
// Retrieval info:      <generic name="gui_duty_cycle4" value="50" />
112
// Retrieval info:      <generic name="gui_cascade_counter5" value="false" />
113
// Retrieval info:      <generic name="gui_output_clock_frequency5" value="100.0" />
114
// Retrieval info:      <generic name="gui_divide_factor_c5" value="1" />
115
// Retrieval info:      <generic name="gui_actual_output_clock_frequency5" value="0 MHz" />
116
// Retrieval info:      <generic name="gui_ps_units5" value="ps" />
117
// Retrieval info:      <generic name="gui_phase_shift5" value="0" />
118
// Retrieval info:      <generic name="gui_phase_shift_deg5" value="0.0" />
119
// Retrieval info:      <generic name="gui_actual_phase_shift5" value="0" />
120
// Retrieval info:      <generic name="gui_duty_cycle5" value="50" />
121
// Retrieval info:      <generic name="gui_cascade_counter6" value="false" />
122
// Retrieval info:      <generic name="gui_output_clock_frequency6" value="100.0" />
123
// Retrieval info:      <generic name="gui_divide_factor_c6" value="1" />
124
// Retrieval info:      <generic name="gui_actual_output_clock_frequency6" value="0 MHz" />
125
// Retrieval info:      <generic name="gui_ps_units6" value="ps" />
126
// Retrieval info:      <generic name="gui_phase_shift6" value="0" />
127
// Retrieval info:      <generic name="gui_phase_shift_deg6" value="0.0" />
128
// Retrieval info:      <generic name="gui_actual_phase_shift6" value="0" />
129
// Retrieval info:      <generic name="gui_duty_cycle6" value="50" />
130
// Retrieval info:      <generic name="gui_cascade_counter7" value="false" />
131
// Retrieval info:      <generic name="gui_output_clock_frequency7" value="100.0" />
132
// Retrieval info:      <generic name="gui_divide_factor_c7" value="1" />
133
// Retrieval info:      <generic name="gui_actual_output_clock_frequency7" value="0 MHz" />
134
// Retrieval info:      <generic name="gui_ps_units7" value="ps" />
135
// Retrieval info:      <generic name="gui_phase_shift7" value="0" />
136
// Retrieval info:      <generic name="gui_phase_shift_deg7" value="0.0" />
137
// Retrieval info:      <generic name="gui_actual_phase_shift7" value="0" />
138
// Retrieval info:      <generic name="gui_duty_cycle7" value="50" />
139
// Retrieval info:      <generic name="gui_cascade_counter8" value="false" />
140
// Retrieval info:      <generic name="gui_output_clock_frequency8" value="100.0" />
141
// Retrieval info:      <generic name="gui_divide_factor_c8" value="1" />
142
// Retrieval info:      <generic name="gui_actual_output_clock_frequency8" value="0 MHz" />
143
// Retrieval info:      <generic name="gui_ps_units8" value="ps" />
144
// Retrieval info:      <generic name="gui_phase_shift8" value="0" />
145
// Retrieval info:      <generic name="gui_phase_shift_deg8" value="0.0" />
146
// Retrieval info:      <generic name="gui_actual_phase_shift8" value="0" />
147
// Retrieval info:      <generic name="gui_duty_cycle8" value="50" />
148
// Retrieval info:      <generic name="gui_cascade_counter9" value="false" />
149
// Retrieval info:      <generic name="gui_output_clock_frequency9" value="100.0" />
150
// Retrieval info:      <generic name="gui_divide_factor_c9" value="1" />
151
// Retrieval info:      <generic name="gui_actual_output_clock_frequency9" value="0 MHz" />
152
// Retrieval info:      <generic name="gui_ps_units9" value="ps" />
153
// Retrieval info:      <generic name="gui_phase_shift9" value="0" />
154
// Retrieval info:      <generic name="gui_phase_shift_deg9" value="0.0" />
155
// Retrieval info:      <generic name="gui_actual_phase_shift9" value="0" />
156
// Retrieval info:      <generic name="gui_duty_cycle9" value="50" />
157
// Retrieval info:      <generic name="gui_cascade_counter10" value="false" />
158
// Retrieval info:      <generic name="gui_output_clock_frequency10" value="100.0" />
159
// Retrieval info:      <generic name="gui_divide_factor_c10" value="1" />
160
// Retrieval info:      <generic name="gui_actual_output_clock_frequency10" value="0 MHz" />
161
// Retrieval info:      <generic name="gui_ps_units10" value="ps" />
162
// Retrieval info:      <generic name="gui_phase_shift10" value="0" />
163
// Retrieval info:      <generic name="gui_phase_shift_deg10" value="0.0" />
164
// Retrieval info:      <generic name="gui_actual_phase_shift10" value="0" />
165
// Retrieval info:      <generic name="gui_duty_cycle10" value="50" />
166
// Retrieval info:      <generic name="gui_cascade_counter11" value="false" />
167
// Retrieval info:      <generic name="gui_output_clock_frequency11" value="100.0" />
168
// Retrieval info:      <generic name="gui_divide_factor_c11" value="1" />
169
// Retrieval info:      <generic name="gui_actual_output_clock_frequency11" value="0 MHz" />
170
// Retrieval info:      <generic name="gui_ps_units11" value="ps" />
171
// Retrieval info:      <generic name="gui_phase_shift11" value="0" />
172
// Retrieval info:      <generic name="gui_phase_shift_deg11" value="0.0" />
173
// Retrieval info:      <generic name="gui_actual_phase_shift11" value="0" />
174
// Retrieval info:      <generic name="gui_duty_cycle11" value="50" />
175
// Retrieval info:      <generic name="gui_cascade_counter12" value="false" />
176
// Retrieval info:      <generic name="gui_output_clock_frequency12" value="100.0" />
177
// Retrieval info:      <generic name="gui_divide_factor_c12" value="1" />
178
// Retrieval info:      <generic name="gui_actual_output_clock_frequency12" value="0 MHz" />
179
// Retrieval info:      <generic name="gui_ps_units12" value="ps" />
180
// Retrieval info:      <generic name="gui_phase_shift12" value="0" />
181
// Retrieval info:      <generic name="gui_phase_shift_deg12" value="0.0" />
182
// Retrieval info:      <generic name="gui_actual_phase_shift12" value="0" />
183
// Retrieval info:      <generic name="gui_duty_cycle12" value="50" />
184
// Retrieval info:      <generic name="gui_cascade_counter13" value="false" />
185
// Retrieval info:      <generic name="gui_output_clock_frequency13" value="100.0" />
186
// Retrieval info:      <generic name="gui_divide_factor_c13" value="1" />
187
// Retrieval info:      <generic name="gui_actual_output_clock_frequency13" value="0 MHz" />
188
// Retrieval info:      <generic name="gui_ps_units13" value="ps" />
189
// Retrieval info:      <generic name="gui_phase_shift13" value="0" />
190
// Retrieval info:      <generic name="gui_phase_shift_deg13" value="0.0" />
191
// Retrieval info:      <generic name="gui_actual_phase_shift13" value="0" />
192
// Retrieval info:      <generic name="gui_duty_cycle13" value="50" />
193
// Retrieval info:      <generic name="gui_cascade_counter14" value="false" />
194
// Retrieval info:      <generic name="gui_output_clock_frequency14" value="100.0" />
195
// Retrieval info:      <generic name="gui_divide_factor_c14" value="1" />
196
// Retrieval info:      <generic name="gui_actual_output_clock_frequency14" value="0 MHz" />
197
// Retrieval info:      <generic name="gui_ps_units14" value="ps" />
198
// Retrieval info:      <generic name="gui_phase_shift14" value="0" />
199
// Retrieval info:      <generic name="gui_phase_shift_deg14" value="0.0" />
200
// Retrieval info:      <generic name="gui_actual_phase_shift14" value="0" />
201
// Retrieval info:      <generic name="gui_duty_cycle14" value="50" />
202
// Retrieval info:      <generic name="gui_cascade_counter15" value="false" />
203
// Retrieval info:      <generic name="gui_output_clock_frequency15" value="100.0" />
204
// Retrieval info:      <generic name="gui_divide_factor_c15" value="1" />
205
// Retrieval info:      <generic name="gui_actual_output_clock_frequency15" value="0 MHz" />
206
// Retrieval info:      <generic name="gui_ps_units15" value="ps" />
207
// Retrieval info:      <generic name="gui_phase_shift15" value="0" />
208
// Retrieval info:      <generic name="gui_phase_shift_deg15" value="0.0" />
209
// Retrieval info:      <generic name="gui_actual_phase_shift15" value="0" />
210
// Retrieval info:      <generic name="gui_duty_cycle15" value="50" />
211
// Retrieval info:      <generic name="gui_cascade_counter16" value="false" />
212
// Retrieval info:      <generic name="gui_output_clock_frequency16" value="100.0" />
213
// Retrieval info:      <generic name="gui_divide_factor_c16" value="1" />
214
// Retrieval info:      <generic name="gui_actual_output_clock_frequency16" value="0 MHz" />
215
// Retrieval info:      <generic name="gui_ps_units16" value="ps" />
216
// Retrieval info:      <generic name="gui_phase_shift16" value="0" />
217
// Retrieval info:      <generic name="gui_phase_shift_deg16" value="0.0" />
218
// Retrieval info:      <generic name="gui_actual_phase_shift16" value="0" />
219
// Retrieval info:      <generic name="gui_duty_cycle16" value="50" />
220
// Retrieval info:      <generic name="gui_cascade_counter17" value="false" />
221
// Retrieval info:      <generic name="gui_output_clock_frequency17" value="100.0" />
222
// Retrieval info:      <generic name="gui_divide_factor_c17" value="1" />
223
// Retrieval info:      <generic name="gui_actual_output_clock_frequency17" value="0 MHz" />
224
// Retrieval info:      <generic name="gui_ps_units17" value="ps" />
225
// Retrieval info:      <generic name="gui_phase_shift17" value="0" />
226
// Retrieval info:      <generic name="gui_phase_shift_deg17" value="0.0" />
227
// Retrieval info:      <generic name="gui_actual_phase_shift17" value="0" />
228
// Retrieval info:      <generic name="gui_duty_cycle17" value="50" />
229
// Retrieval info:      <generic name="gui_pll_auto_reset" value="Off" />
230
// Retrieval info:      <generic name="gui_pll_bandwidth_preset" value="Auto" />
231
// Retrieval info:      <generic name="gui_en_reconf" value="false" />
232
// Retrieval info:      <generic name="gui_en_dps_ports" value="false" />
233
// Retrieval info:      <generic name="gui_en_phout_ports" value="false" />
234
// Retrieval info:      <generic name="gui_phout_division" value="1" />
235
// Retrieval info:      <generic name="gui_en_lvds_ports" value="false" />
236
// Retrieval info:      <generic name="gui_mif_generate" value="false" />
237
// Retrieval info:      <generic name="gui_enable_mif_dps" value="false" />
238
// Retrieval info:      <generic name="gui_dps_cntr" value="C0" />
239
// Retrieval info:      <generic name="gui_dps_num" value="1" />
240
// Retrieval info:      <generic name="gui_dps_dir" value="Positive" />
241
// Retrieval info:      <generic name="gui_refclk_switch" value="false" />
242
// Retrieval info:      <generic name="gui_refclk1_frequency" value="100.0" />
243
// Retrieval info:      <generic name="gui_switchover_mode" value="Automatic Switchover" />
244
// Retrieval info:      <generic name="gui_switchover_delay" value="0" />
245
// Retrieval info:      <generic name="gui_active_clk" value="false" />
246
// Retrieval info:      <generic name="gui_clk_bad" value="false" />
247
// Retrieval info:      <generic name="gui_enable_cascade_out" value="false" />
248
// Retrieval info:      <generic name="gui_cascade_outclk_index" value="0" />
249
// Retrieval info:      <generic name="gui_enable_cascade_in" value="false" />
250
// Retrieval info:      <generic name="gui_pll_cascading_mode" value="Create an adjpllin signal to connect with an upstream PLL" />
251
// Retrieval info: </instance>
252
// IPFS_FILES : pll.vo
253
// RELATED_FILES: pll.v, pll_0002.v

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.