OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [doc/] [Terasic/] [DE0_NANO_SOC/] [Demonstrations/] [FPGA/] [my_first_fpga/] [pll_sim/] [aldec/] [rivierapro_setup.tcl] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
 
2
# (C) 2001-2014 Altera Corporation. All rights reserved.
3
# Your use of Altera Corporation's design tools, logic functions and 
4
# other software and tools, and its AMPP partner logic functions, and 
5
# any output files any of the foregoing (including device programming 
6
# or simulation files), and any associated documentation or information 
7
# are expressly subject to the terms and conditions of the Altera 
8
# Program License Subscription Agreement, Altera MegaCore Function 
9
# License Agreement, or other applicable license agreement, including, 
10
# without limitation, that your use is for the sole purpose of 
11
# programming logic devices manufactured by Altera and sold by Altera 
12
# or its authorized distributors. Please refer to the applicable 
13
# agreement for further details.
14
 
15
# ACDS 14.1 186 win32 2014.12.24.17:12:23
16
 
17
# ----------------------------------------
18
# Auto-generated simulation script
19
 
20
# ----------------------------------------
21
# Initialize variables
22
if ![info exists SYSTEM_INSTANCE_NAME] {
23
  set SYSTEM_INSTANCE_NAME ""
24
} elseif { ![ string match "" $SYSTEM_INSTANCE_NAME ] } {
25
  set SYSTEM_INSTANCE_NAME "/$SYSTEM_INSTANCE_NAME"
26
}
27
 
28
if ![info exists TOP_LEVEL_NAME] {
29
  set TOP_LEVEL_NAME "pll"
30
}
31
 
32
if ![info exists QSYS_SIMDIR] {
33
  set QSYS_SIMDIR "./../"
34
}
35
 
36
if ![info exists QUARTUS_INSTALL_DIR] {
37
  set QUARTUS_INSTALL_DIR "C:/altera/14.1/quartus/"
38
}
39
 
40
# ----------------------------------------
41
# Initialize simulation properties - DO NOT MODIFY!
42
set ELAB_OPTIONS ""
43
set SIM_OPTIONS ""
44
if ![ string match "*-64 vsim*" [ vsim -version ] ] {
45
} else {
46
}
47
 
48
set Aldec "Riviera"
49
if { [ string match "*Active-HDL*" [ vsim -version ] ] } {
50
  set Aldec "Active"
51
}
52
 
53
if { [ string match "Active" $Aldec ] } {
54
  scripterconf -tcl
55
  createdesign "$TOP_LEVEL_NAME"  "."
56
  opendesign "$TOP_LEVEL_NAME"
57
}
58
 
59
# ----------------------------------------
60
# Copy ROM/RAM files to simulation directory
61
alias file_copy {
62
  echo "\[exec\] file_copy"
63
}
64
 
65
# ----------------------------------------
66
# Create compilation libraries
67
proc ensure_lib { lib } { if ![file isdirectory $lib] { vlib $lib } }
68
ensure_lib      ./libraries
69
ensure_lib      ./libraries/work
70
vmap       work ./libraries/work
71
ensure_lib                       ./libraries/altera_ver
72
vmap       altera_ver            ./libraries/altera_ver
73
ensure_lib                       ./libraries/lpm_ver
74
vmap       lpm_ver               ./libraries/lpm_ver
75
ensure_lib                       ./libraries/sgate_ver
76
vmap       sgate_ver             ./libraries/sgate_ver
77
ensure_lib                       ./libraries/altera_mf_ver
78
vmap       altera_mf_ver         ./libraries/altera_mf_ver
79
ensure_lib                       ./libraries/altera_lnsim_ver
80
vmap       altera_lnsim_ver      ./libraries/altera_lnsim_ver
81
ensure_lib                       ./libraries/cyclonev_ver
82
vmap       cyclonev_ver          ./libraries/cyclonev_ver
83
ensure_lib                       ./libraries/cyclonev_hssi_ver
84
vmap       cyclonev_hssi_ver     ./libraries/cyclonev_hssi_ver
85
ensure_lib                       ./libraries/cyclonev_pcie_hip_ver
86
vmap       cyclonev_pcie_hip_ver ./libraries/cyclonev_pcie_hip_ver
87
 
88
 
89
# ----------------------------------------
90
# Compile device library files
91
alias dev_com {
92
  echo "\[exec\] dev_com"
93
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_primitives.v"                    -work altera_ver
94
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/220model.v"                             -work lpm_ver
95
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/sgate.v"                                -work sgate_ver
96
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_mf.v"                            -work altera_mf_ver
97
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/altera_lnsim.sv"                        -work altera_lnsim_ver
98
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/aldec/cyclonev_atoms_ncrypt.v"          -work cyclonev_ver
99
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/aldec/cyclonev_hmi_atoms_ncrypt.v"      -work cyclonev_ver
100
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_atoms.v"                       -work cyclonev_ver
101
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/aldec/cyclonev_hssi_atoms_ncrypt.v"     -work cyclonev_hssi_ver
102
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_hssi_atoms.v"                  -work cyclonev_hssi_ver
103
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/aldec/cyclonev_pcie_hip_atoms_ncrypt.v" -work cyclonev_pcie_hip_ver
104
  vlog  "$QUARTUS_INSTALL_DIR/eda/sim_lib/cyclonev_pcie_hip_atoms.v"              -work cyclonev_pcie_hip_ver
105
}
106
 
107
# ----------------------------------------
108
# Compile the design files in correct order
109
alias com {
110
  echo "\[exec\] com"
111
  vlog "$QSYS_SIMDIR/pll.vo"
112
}
113
 
114
# ----------------------------------------
115
# Elaborate top level design
116
alias elab {
117
  echo "\[exec\] elab"
118
  eval vsim +access +r -t ps $ELAB_OPTIONS -L work -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver $TOP_LEVEL_NAME
119
}
120
 
121
# ----------------------------------------
122
# Elaborate the top level design with -dbg -O2 option
123
alias elab_debug {
124
  echo "\[exec\] elab_debug"
125
  eval vsim -dbg -O2 +access +r -t ps $ELAB_OPTIONS -L work -L altera_ver -L lpm_ver -L sgate_ver -L altera_mf_ver -L altera_lnsim_ver -L cyclonev_ver -L cyclonev_hssi_ver -L cyclonev_pcie_hip_ver $TOP_LEVEL_NAME
126
}
127
 
128
# ----------------------------------------
129
# Compile all the design files and elaborate the top level design
130
alias ld "
131
  dev_com
132
  com
133
  elab
134
"
135
 
136
# ----------------------------------------
137
# Compile all the design files and elaborate the top level design with -dbg -O2
138
alias ld_debug "
139
  dev_com
140
  com
141
  elab_debug
142
"
143
 
144
# ----------------------------------------
145
# Print out user commmand line aliases
146
alias h {
147
  echo "List Of Command Line Aliases"
148
  echo
149
  echo "file_copy                     -- Copy ROM/RAM files to simulation directory"
150
  echo
151
  echo "dev_com                       -- Compile device library files"
152
  echo
153
  echo "com                           -- Compile the design files in correct order"
154
  echo
155
  echo "elab                          -- Elaborate top level design"
156
  echo
157
  echo "elab_debug                    -- Elaborate the top level design with -dbg -O2 option"
158
  echo
159
  echo "ld                            -- Compile all the design files and elaborate the top level design"
160
  echo
161
  echo "ld_debug                      -- Compile all the design files and elaborate the top level design with -dbg -O2"
162
  echo
163
  echo
164
  echo
165
  echo "List Of Variables"
166
  echo
167
  echo "TOP_LEVEL_NAME                -- Top level module name."
168
  echo
169
  echo "SYSTEM_INSTANCE_NAME          -- Instantiated system module name inside top level module."
170
  echo
171
  echo "QSYS_SIMDIR                   -- Qsys base simulation directory."
172
  echo
173
  echo "QUARTUS_INSTALL_DIR           -- Quartus installation directory."
174
}
175
file_copy
176
h

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.