OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [altera_de0_nano_soc/] [rtl/] [verilog/] [opengfx430/] [ogfx_ram_arbiter.v] - Blame information for rev 221

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 221 olivier.gi
//----------------------------------------------------------------------------
2
// Copyright (C) 2015 Authors
3
//
4
// This source file may be used and distributed without restriction provided
5
// that this copyright statement is not removed from the file and that any
6
// derivative work contains the original copyright notice and the associated
7
// disclaimer.
8
//
9
// This source file is free software; you can redistribute it and/or modify
10
// it under the terms of the GNU Lesser General Public License as published
11
// by the Free Software Foundation; either version 2.1 of the License, or
12
// (at your option) any later version.
13
//
14
// This source is distributed in the hope that it will be useful, but WITHOUT
15
// ANY WARRANTY; without even the implied warranty of MERCHANTABILITY or
16
// FITNESS FOR A PARTICULAR PURPOSE. See the GNU Lesser General Public
17
// License for more details.
18
//
19
// You should have received a copy of the GNU Lesser General Public License
20
// along with this source; if not, write to the Free Software Foundation,
21
// Inc., 51 Franklin Street, Fifth Floor, Boston, MA  02110-1301  USA
22
//
23
//----------------------------------------------------------------------------
24
//
25
// *File Name: ogfx_ram_arbiter.v
26
//
27
// *Module Description:
28
//                      RAM arbiter for LUT and VIDEO memories
29
//                      LUT-RAM arbitration:
30
//
31
//                              - Software interface: fixed highest priority
32
//                              - Refresh  interface: fixed lowest priority
33
//
34
//                      Video-RAM arbitration:
35
//
36
//                              - Software interface: fixed highest priority
37
//                              - Refresh  interface: round-robin with GPIO if
38
//                              - GPU      interface: round-robin with Refresh if
39
//
40
// *Author(s):
41
//              - Olivier Girard,    olgirard@gmail.com
42
//
43
//----------------------------------------------------------------------------
44
// $Rev$
45
// $LastChangedBy$
46
// $LastChangedDate$
47
//----------------------------------------------------------------------------
48
`ifdef OGFX_NO_INCLUDE
49
`else
50
`include "openGFX430_defines.v"
51
`endif
52
 
53
module  ogfx_ram_arbiter (
54
 
55
    mclk,                                                // Main system clock
56
    puc_rst,                                             // Main system reset
57
 
58
   //------------------------------------------------------------
59
 
60
   // SW interface, fixed highest priority
61
    lut_ram_sw_addr_i,                                   // LUT-RAM Software address
62
    lut_ram_sw_din_i,                                    // LUT-RAM Software data
63
    lut_ram_sw_wen_i,                                    // LUT-RAM Software write strobe (active low)
64
    lut_ram_sw_cen_i,                                    // LUT-RAM Software chip enable (active low)
65
    lut_ram_sw_dout_o,                                   // LUT-RAM Software data input
66
 
67
   // Refresh-backend, fixed lowest priority
68
    lut_ram_refr_addr_i,                                 // LUT-RAM Refresh address
69
    lut_ram_refr_din_i,                                  // LUT-RAM Refresh data
70
    lut_ram_refr_wen_i,                                  // LUT-RAM Refresh write strobe (active low)
71
    lut_ram_refr_cen_i,                                  // LUT-RAM Refresh enable (active low)
72
    lut_ram_refr_dout_o,                                 // LUT-RAM Refresh data output
73
    lut_ram_refr_dout_rdy_nxt_o,                         // LUT-RAM Refresh data output ready during next cycle
74
 
75
   // LUT Memory interface
76
    lut_ram_addr_o,                                      // LUT-RAM address
77
    lut_ram_din_o,                                       // LUT-RAM data
78
    lut_ram_wen_o,                                       // LUT-RAM write strobe (active low)
79
    lut_ram_cen_o,                                       // LUT-RAM chip enable (active low)
80
    lut_ram_dout_i,                                      // LUT-RAM data input
81
 
82
   //------------------------------------------------------------
83
 
84
   // SW interface, fixed highest priority
85
    vid_ram_sw_addr_i,                                   // Video-RAM Software address
86
    vid_ram_sw_din_i,                                    // Video-RAM Software data
87
    vid_ram_sw_wen_i,                                    // Video-RAM Software write strobe (active low)
88
    vid_ram_sw_cen_i,                                    // Video-RAM Software chip enable (active low)
89
    vid_ram_sw_dout_o,                                   // Video-RAM Software data input
90
 
91
   // GPU interface (round-robin with refresh-backend)
92
    vid_ram_gpu_addr_i,                                  // Video-RAM GPU address
93
    vid_ram_gpu_din_i,                                   // Video-RAM GPU data
94
    vid_ram_gpu_wen_i,                                   // Video-RAM GPU write strobe (active low)
95
    vid_ram_gpu_cen_i,                                   // Video-RAM GPU chip enable (active low)
96
    vid_ram_gpu_dout_o,                                  // Video-RAM GPU data input
97
    vid_ram_gpu_dout_rdy_nxt_o,                          // Video-RAM GPU data output ready during next cycle
98
 
99
   // Refresh-backend (round-robin with GPU interface)
100
    vid_ram_refr_addr_i,                                 // Video-RAM Refresh address
101
    vid_ram_refr_din_i,                                  // Video-RAM Refresh data
102
    vid_ram_refr_wen_i,                                  // Video-RAM Refresh write strobe (active low)
103
    vid_ram_refr_cen_i,                                  // Video-RAM Refresh enable (active low)
104
    vid_ram_refr_dout_o,                                 // Video-RAM Refresh data output
105
    vid_ram_refr_dout_rdy_nxt_o,                         // Video-RAM Refresh data output ready during next cycle
106
 
107
   // Video Memory interface
108
    vid_ram_addr_o,                                      // Video-RAM address
109
    vid_ram_din_o,                                       // Video-RAM data
110
    vid_ram_wen_o,                                       // Video-RAM write strobe (active low)
111
    vid_ram_cen_o,                                       // Video-RAM chip enable (active low)
112
    vid_ram_dout_i                                       // Video-RAM data input
113
 
114
   //------------------------------------------------------------
115
);
116
 
117
// CLOCK/RESET
118
//=============
119
input                mclk;                               // Main system clock
120
input                puc_rst;                            // Main system reset
121
 
122
// LUT MEMORY
123
//=============
124
 
125
// SW interface, fixed highest priority
126
input  [`LRAM_MSB:0] lut_ram_sw_addr_i;                  // LUT-RAM Software address
127
input         [15:0] lut_ram_sw_din_i;                   // LUT-RAM Software data
128
input                lut_ram_sw_wen_i;                   // LUT-RAM Software write strobe (active low)
129
input                lut_ram_sw_cen_i;                   // LUT-RAM Software chip enable (active low)
130
output        [15:0] lut_ram_sw_dout_o;                  // LUT-RAM Software data input
131
 
132
// Refresh-backend, fixed lowest priority
133
input  [`LRAM_MSB:0] lut_ram_refr_addr_i;                // LUT-RAM Refresh address
134
input         [15:0] lut_ram_refr_din_i;                 // LUT-RAM Refresh data
135
input                lut_ram_refr_wen_i;                 // LUT-RAM Refresh write strobe (active low)
136
input                lut_ram_refr_cen_i;                 // LUT-RAM Refresh enable (active low)
137
output        [15:0] lut_ram_refr_dout_o;                // LUT-RAM Refresh data output
138
output               lut_ram_refr_dout_rdy_nxt_o;        // LUT-RAM Refresh data output ready during next cycle
139
 
140
// LUT Memory interface
141
output [`LRAM_MSB:0] lut_ram_addr_o;                     // LUT-RAM address
142
output        [15:0] lut_ram_din_o;                      // LUT-RAM data
143
output               lut_ram_wen_o;                      // LUT-RAM write strobe (active low)
144
output               lut_ram_cen_o;                      // LUT-RAM chip enable (active low)
145
input         [15:0] lut_ram_dout_i;                     // LUT-RAM data input
146
 
147
// VIDEO MEMORY
148
//==============
149
 
150
// SW interface, fixed highest priority
151
input  [`VRAM_MSB:0] vid_ram_sw_addr_i;                  // Video-RAM Software address
152
input         [15:0] vid_ram_sw_din_i;                   // Video-RAM Software data
153
input                vid_ram_sw_wen_i;                   // Video-RAM Software write strobe (active low)
154
input                vid_ram_sw_cen_i;                   // Video-RAM Software chip enable (active low)
155
output        [15:0] vid_ram_sw_dout_o;                  // Video-RAM Software data input
156
 
157
// GPU interface (round-robin with refresh-backend)
158
input  [`VRAM_MSB:0] vid_ram_gpu_addr_i;                 // Video-RAM GPU address
159
input         [15:0] vid_ram_gpu_din_i;                  // Video-RAM GPU data
160
input                vid_ram_gpu_wen_i;                  // Video-RAM GPU write strobe (active low)
161
input                vid_ram_gpu_cen_i;                  // Video-RAM GPU chip enable (active low)
162
output        [15:0] vid_ram_gpu_dout_o;                 // Video-RAM GPU data input
163
output               vid_ram_gpu_dout_rdy_nxt_o;         // Video-RAM GPU data output ready during next cycle
164
 
165
// Refresh-backend (round-robin with GPU interface)
166
input  [`VRAM_MSB:0] vid_ram_refr_addr_i;                // Video-RAM Refresh address
167
input         [15:0] vid_ram_refr_din_i;                 // Video-RAM Refresh data
168
input                vid_ram_refr_wen_i;                 // Video-RAM Refresh write strobe (active low)
169
input                vid_ram_refr_cen_i;                 // Video-RAM Refresh enable (active low)
170
output        [15:0] vid_ram_refr_dout_o;                // Video-RAM Refresh data output
171
output               vid_ram_refr_dout_rdy_nxt_o;        // Video-RAM Refresh data output ready during next cycle
172
 
173
// Video Memory interface
174
output [`VRAM_MSB:0] vid_ram_addr_o;                     // Video-RAM address
175
output        [15:0] vid_ram_din_o;                      // Video-RAM data
176
output               vid_ram_wen_o;                      // Video-RAM write strobe (active low)
177
output               vid_ram_cen_o;                      // Video-RAM chip enable (active low)
178
input         [15:0] vid_ram_dout_i;                     // Video-RAM data input
179
 
180
 
181
//=============================================================================
182
// 1)  WIRE, REGISTERS AND PARAMETER DECLARATION
183
//=============================================================================
184
 
185
reg     gpu_is_last_owner;
186
 
187
 
188
//=============================================================================
189
// 2) LUT MEMORY ARBITER
190
//=============================================================================
191
 
192
// Arbitration signals
193
wire    sw_lram_access_granted       = ~lut_ram_sw_cen_i;
194
wire    refr_lram_access_granted     = ~sw_lram_access_granted & ~lut_ram_refr_cen_i;
195
 
196
// LUT RAM signal muxing
197
assign  lut_ram_sw_dout_o            =  lut_ram_dout_i;
198
 
199
assign  lut_ram_refr_dout_o          =  lut_ram_dout_i;
200
assign  lut_ram_refr_dout_rdy_nxt_o  =  refr_lram_access_granted;
201
 
202
assign  lut_ram_addr_o               = ({`LRAM_AWIDTH{ sw_lram_access_granted  }} & lut_ram_sw_addr_i  ) |
203
                                       ({`LRAM_AWIDTH{ refr_lram_access_granted}} & lut_ram_refr_addr_i) ;
204
 
205
assign  lut_ram_din_o                = ({          16{ sw_lram_access_granted  }} & lut_ram_sw_din_i   ) |
206
                                       ({          16{ refr_lram_access_granted}} & lut_ram_refr_din_i ) ;
207
 
208
assign  lut_ram_wen_o                = (              ~sw_lram_access_granted     | lut_ram_sw_wen_i   ) &
209
                                       (              ~refr_lram_access_granted   | lut_ram_refr_wen_i ) ;
210
 
211
assign  lut_ram_cen_o                =  lut_ram_sw_cen_i & lut_ram_refr_cen_i;
212
 
213
 
214
//=============================================================================
215
// 3) VIDEO MEMORY ARBITER
216
//=============================================================================
217
 
218
 
219
// Arbitration signals
220
wire sw_vram_access_granted   = ~vid_ram_sw_cen_i;
221
wire gpu_vram_access_granted  = ~sw_vram_access_granted &                                           // No SW access
222
                                ((~vid_ram_gpu_cen_i &  vid_ram_refr_cen_i)                       | // GPU requests alone
223
                                 (~vid_ram_gpu_cen_i & ~vid_ram_refr_cen_i & ~gpu_is_last_owner)) ; // GPU & REFR both requests (arbitration required)
224
 
225
wire refr_vram_access_granted = ~sw_vram_access_granted &                                           // No SW access
226
                                (( vid_ram_gpu_cen_i & ~vid_ram_refr_cen_i)                       | // GPU requests alone
227
                                 (~vid_ram_gpu_cen_i & ~vid_ram_refr_cen_i &  gpu_is_last_owner)) ; // GPU & REFR both requests (arbitration required)
228
 
229
// Detect who was the last to own the RAM between the GPU and Refresh interface
230
always @ (posedge mclk or posedge puc_rst)
231
  if (puc_rst)                       gpu_is_last_owner <=  1'b0;
232
  else if (gpu_vram_access_granted ) gpu_is_last_owner <=  1'b1;
233
  else if (refr_vram_access_granted) gpu_is_last_owner <=  1'b0;
234
 
235
// Video RAM signal muxing
236
assign  vid_ram_sw_dout_o            = vid_ram_dout_i;
237
 
238
assign  vid_ram_gpu_dout_o           = vid_ram_dout_i;
239
assign  vid_ram_gpu_dout_rdy_nxt_o   = gpu_vram_access_granted;
240
 
241
assign  vid_ram_refr_dout_o          = vid_ram_dout_i;
242
assign  vid_ram_refr_dout_rdy_nxt_o  = refr_vram_access_granted;
243
 
244
assign  vid_ram_addr_o               = ({`VRAM_AWIDTH{ sw_vram_access_granted  }} & vid_ram_sw_addr_i  ) |
245
                                       ({`VRAM_AWIDTH{ gpu_vram_access_granted }} & vid_ram_gpu_addr_i ) |
246
                                       ({`VRAM_AWIDTH{ refr_vram_access_granted}} & vid_ram_refr_addr_i) ;
247
 
248
assign  vid_ram_din_o                = ({          16{ sw_vram_access_granted  }} & vid_ram_sw_din_i   ) |
249
                                       ({          16{ gpu_vram_access_granted }} & vid_ram_gpu_din_i  ) |
250
                                       ({          16{ refr_vram_access_granted}} & vid_ram_refr_din_i ) ;
251
 
252
assign  vid_ram_wen_o                = (              ~sw_vram_access_granted     | vid_ram_sw_wen_i   ) &
253
                                       (              ~gpu_vram_access_granted    | vid_ram_gpu_wen_i  ) &
254
                                       (              ~refr_vram_access_granted   | vid_ram_refr_wen_i ) ;
255
 
256
assign  vid_ram_cen_o                = vid_ram_sw_cen_i & vid_ram_gpu_cen_i & vid_ram_refr_cen_i;
257
 
258
 
259
endmodule // ogfx_ram_arbiter
260
 
261
`ifdef OGFX_NO_INCLUDE
262
`else
263
`include "openGFX430_undefines.v"
264
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.