OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [xilinx_avnet_lx9microbard/] [rtl/] [verilog/] [coregen/] [ram_16x1k_dp/] [simulation/] [bmg_stim_gen.vhd] - Blame information for rev 167

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 167 olivier.gi
        --------------------------------------------------------------------------------
2
--
3
-- BLK MEM GEN v7_2 Core - Stimulus Generator For TDP
4
--
5
--------------------------------------------------------------------------------
6
--
7
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
8
--
9
-- This file contains confidential and proprietary information
10
-- of Xilinx, Inc. and is protected under U.S. and
11
-- international copyright and other intellectual property
12
-- laws.
13
--
14
-- DISCLAIMER
15
-- This disclaimer is not a license and does not grant any
16
-- rights to the materials distributed herewith. Except as
17
-- otherwise provided in a valid license issued to you by
18
-- Xilinx, and to the maximum extent permitted by applicable
19
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
20
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
21
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
22
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
23
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
24
-- (2) Xilinx shall not be liable (whether in contract or tort,
25
-- including negligence, or under any other theory of
26
-- liability) for any loss or damage of any kind or nature
27
-- related to, arising under or in connection with these
28
-- materials, including for any direct, or any indirect,
29
-- special, incidental, or consequential loss or damage
30
-- (including loss of data, profits, goodwill, or any type of
31
-- loss or damage suffered as a result of any action brought
32
-- by a third party) even if such damage or loss was
33
-- reasonably foreseeable or Xilinx had been advised of the
34
-- possibility of the same.
35
--
36
-- CRITICAL APPLICATIONS
37
-- Xilinx products are not designed or intended to be fail-
38
-- safe, or for use in any application requiring fail-safe
39
-- performance, such as life-support or safety devices or
40
-- systems, Class III medical devices, nuclear facilities,
41
-- applications related to the deployment of airbags, or any
42
-- other applications that could lead to death, personal
43
-- injury, or severe property or environmental damage
44
-- (individually and collectively, "Critical
45
-- Applications"). Customer assumes the sole risk and
46
-- liability of any use of Xilinx products in Critical
47
-- Applications, subject only to applicable laws and
48
-- regulations governing limitations on product liability.
49
--
50
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
51
-- PART OF THIS FILE AT ALL TIMES.
52
 
53
--------------------------------------------------------------------------------
54
--
55
-- Filename: bmg_stim_gen.vhd
56
--
57
-- Description:
58
--  Stimulus Generation For TDP
59
--  100 Writes and 100 Reads will be performed in a repeatitive loop till the 
60
--  simulation ends
61
--
62
--------------------------------------------------------------------------------
63
-- Author: IP Solutions Division
64
--
65
-- History: Sep 12, 2011 - First Release
66
--------------------------------------------------------------------------------
67
--
68
--------------------------------------------------------------------------------
69
-- Library Declarations
70
--------------------------------------------------------------------------------
71
LIBRARY IEEE;
72
USE IEEE.STD_LOGIC_1164.ALL;
73
USE IEEE.STD_LOGIC_ARITH.ALL;
74
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
75
USE IEEE.STD_LOGIC_MISC.ALL;
76
 
77
 LIBRARY work;
78
USE work.ALL;
79
USE work.BMG_TB_PKG.ALL;
80
 
81
 
82
ENTITY REGISTER_LOGIC_TDP IS
83
  PORT(
84
    Q   : OUT STD_LOGIC;
85
    CLK   : IN STD_LOGIC;
86
    RST : IN STD_LOGIC;
87
    D   : IN STD_LOGIC
88
    );
89
END REGISTER_LOGIC_TDP;
90
 
91
ARCHITECTURE REGISTER_ARCH OF REGISTER_LOGIC_TDP IS
92
SIGNAL Q_O : STD_LOGIC :='0';
93
BEGIN
94
  Q <= Q_O;
95
  FF_BEH: PROCESS(CLK)
96
  BEGIN
97
     IF(RISING_EDGE(CLK)) THEN
98
        IF(RST ='1') THEN
99
               Q_O <= '0';
100
        ELSE
101
           Q_O <= D;
102
        END IF;
103
      END IF;
104
   END PROCESS;
105
END REGISTER_ARCH;
106
 
107
LIBRARY IEEE;
108
USE IEEE.STD_LOGIC_1164.ALL;
109
USE IEEE.STD_LOGIC_ARITH.ALL;
110
--USE IEEE.NUMERIC_STD.ALL;
111
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
112
USE IEEE.STD_LOGIC_MISC.ALL;
113
 
114
 LIBRARY work;
115
USE work.ALL;
116
USE work.BMG_TB_PKG.ALL;
117
 
118
 
119
ENTITY BMG_STIM_GEN IS
120
   PORT (
121
      CLKA     : IN   STD_LOGIC;
122
      CLKB     : IN   STD_LOGIC;
123
      TB_RST   : IN   STD_LOGIC;
124
      ADDRA    : OUT  STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
125
      DINA     : OUT  STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
126
      ENA      : OUT  STD_LOGIC :='0';
127
      WEA      : OUT  STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
128
      WEB      : OUT  STD_LOGIC_VECTOR (1 DOWNTO 0) := (OTHERS => '0');
129
      ADDRB    : OUT  STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
130
      DINB     : OUT  STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
131
      ENB      : OUT  STD_LOGIC :='0';
132
     CHECK_DATA: OUT  STD_LOGIC_VECTOR(1 DOWNTO 0):=(OTHERS => '0')
133
          );
134
END BMG_STIM_GEN;
135
 
136
 
137
ARCHITECTURE BEHAVIORAL OF BMG_STIM_GEN IS
138
 
139
CONSTANT ZERO                : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
140
CONSTANT ADDR_ZERO           : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
141
CONSTANT DATA_PART_CNT_A     : INTEGER:= DIVROUNDUP(16,16);
142
CONSTANT DATA_PART_CNT_B     : INTEGER:= DIVROUNDUP(16,16);
143
SIGNAL WRITE_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
144
SIGNAL WRITE_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
145
SIGNAL WRITE_ADDR_INT_A : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
146
SIGNAL READ_ADDR_INT_A : STD_LOGIC_VECTOR(9 DOWNTO 0) := (OTHERS => '0');
147
SIGNAL WRITE_ADDR_INT_B : STD_LOGIC_VECTOR(9  DOWNTO 0) := (OTHERS => '0');
148
SIGNAL READ_ADDR_INT_B : STD_LOGIC_VECTOR(9  DOWNTO 0) := (OTHERS => '0');
149
SIGNAL READ_ADDR_A : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
150
SIGNAL READ_ADDR_B : STD_LOGIC_VECTOR(31 DOWNTO 0) := (OTHERS => '0');
151
SIGNAL DINA_INT  : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
152
SIGNAL DINB_INT  : STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
153
SIGNAL MAX_COUNT : STD_LOGIC_VECTOR(10 DOWNTO 0):=CONV_STD_LOGIC_VECTOR(1024,11);
154
SIGNAL DO_WRITE_A : STD_LOGIC := '0';
155
SIGNAL DO_READ_A : STD_LOGIC := '0';
156
SIGNAL DO_WRITE_B : STD_LOGIC := '0';
157
SIGNAL DO_READ_B : STD_LOGIC := '0';
158
SIGNAL COUNT_NO : STD_LOGIC_VECTOR (10 DOWNTO 0):=(OTHERS => '0');
159
SIGNAL DO_READ_RA : STD_LOGIC := '0';
160
SIGNAL DO_READ_RB : STD_LOGIC := '0';
161
SIGNAL DO_READ_REG_A: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
162
SIGNAL DO_READ_REG_B: STD_LOGIC_VECTOR(4 DOWNTO 0) :=(OTHERS => '0');
163
SIGNAL WEA_VCC: STD_LOGIC_VECTOR(1 DOWNTO 0) :=(OTHERS => '1');
164
SIGNAL WEA_GND: STD_LOGIC_VECTOR(1 DOWNTO 0) :=(OTHERS => '0');
165
SIGNAL WEB_VCC: STD_LOGIC_VECTOR(1 DOWNTO 0) :=(OTHERS => '1');
166
SIGNAL WEB_GND: STD_LOGIC_VECTOR(1 DOWNTO 0) :=(OTHERS => '0');
167
SIGNAL COUNT : integer := 0;
168
SIGNAL COUNT_B : integer := 0;
169
CONSTANT WRITE_CNT_A : integer := 6;
170
CONSTANT READ_CNT_A : integer := 6;
171
CONSTANT WRITE_CNT_B : integer := 4;
172
CONSTANT READ_CNT_B : integer := 4;
173
 
174
signal porta_wr_rd : std_logic:='0';
175
signal portb_wr_rd : std_logic:='0';
176
signal porta_wr_rd_complete: std_logic:='0';
177
signal portb_wr_rd_complete: std_logic:='0';
178
signal incr_cnt : std_logic :='0';
179
signal incr_cnt_b : std_logic :='0';
180
 
181
SIGNAL PORTB_WR_RD_HAPPENED: STD_LOGIC :='0';
182
SIGNAL LATCH_PORTA_WR_RD_COMPLETE : STD_LOGIC :='0';
183
SIGNAL PORTA_WR_RD_L1 :STD_LOGIC :='0';
184
SIGNAL PORTA_WR_RD_L2 :STD_LOGIC :='0';
185
SIGNAL PORTB_WR_RD_R1 :STD_LOGIC :='0';
186
SIGNAL PORTB_WR_RD_R2 :STD_LOGIC :='0';
187
SIGNAL PORTA_WR_RD_HAPPENED: STD_LOGIC :='0';
188
SIGNAL LATCH_PORTB_WR_RD_COMPLETE : STD_LOGIC :='0';
189
SIGNAL PORTB_WR_RD_L1 :STD_LOGIC :='0';
190
SIGNAL PORTB_WR_RD_L2 :STD_LOGIC :='0';
191
SIGNAL PORTA_WR_RD_R1 :STD_LOGIC :='0';
192
SIGNAL PORTA_WR_RD_R2 :STD_LOGIC :='0';
193
BEGIN
194
 
195
  WRITE_ADDR_INT_A(9 DOWNTO 0) <= WRITE_ADDR_A(9 DOWNTO 0);
196
  READ_ADDR_INT_A(9 DOWNTO 0) <= READ_ADDR_A(9 DOWNTO 0);
197
  ADDRA <= IF_THEN_ELSE(DO_WRITE_A='1',WRITE_ADDR_INT_A,READ_ADDR_INT_A) ;
198
  WRITE_ADDR_INT_B(9 DOWNTO 0) <= WRITE_ADDR_B(9 DOWNTO 0);
199
--To avoid collision during idle period, negating the read_addr of port A
200
  READ_ADDR_INT_B(9 DOWNTO 0) <= IF_THEN_ELSE( (DO_WRITE_B='0' AND DO_READ_B='0'),ADDR_ZERO,READ_ADDR_B(9 DOWNTO 0));
201
  ADDRB <= IF_THEN_ELSE(DO_WRITE_B='1',WRITE_ADDR_INT_B,READ_ADDR_INT_B) ;
202
  DINA  <= DINA_INT ;
203
  DINB  <= DINB_INT ;
204
 
205
  CHECK_DATA(0) <= DO_READ_A;
206
  CHECK_DATA(1) <= DO_READ_B;
207
  RD_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN
208
    GENERIC MAP( C_MAX_DEPTH => 1024,
209
                 RST_INC => 1 )
210
     PORT MAP(
211
        CLK => CLKA,
212
            RST => TB_RST,
213
        EN  => DO_READ_A,
214
        LOAD => '0',
215
        LOAD_VALUE => ZERO,
216
            ADDR_OUT => READ_ADDR_A
217
       );
218
 
219
  WR_ADDR_GEN_INST_A:ENTITY work.ADDR_GEN
220
    GENERIC MAP( C_MAX_DEPTH =>1024 ,
221
                 RST_INC => 1 )
222
 
223
     PORT MAP(
224
        CLK => CLKA,
225
        RST => TB_RST,
226
        EN  => DO_WRITE_A,
227
        LOAD => '0',
228
            LOAD_VALUE => ZERO,
229
        ADDR_OUT => WRITE_ADDR_A
230
       );
231
 
232
  RD_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN
233
    GENERIC MAP( C_MAX_DEPTH => 1024 ,
234
                 RST_INC => 1 )
235
 
236
     PORT MAP(
237
        CLK => CLKB,
238
        RST => TB_RST,
239
        EN  => DO_READ_B,
240
        LOAD => '0',
241
            LOAD_VALUE => ZERO,
242
        ADDR_OUT => READ_ADDR_B
243
       );
244
 
245
  WR_ADDR_GEN_INST_B:ENTITY work.ADDR_GEN
246
    GENERIC MAP( C_MAX_DEPTH => 1024 ,
247
                 RST_INC => 1 )
248
 
249
     PORT MAP(
250
        CLK => CLKB,
251
        RST => TB_RST,
252
        EN  => DO_WRITE_B,
253
        LOAD => '0',
254
            LOAD_VALUE => ZERO,
255
        ADDR_OUT => WRITE_ADDR_B
256
       );
257
 
258
  WR_DATA_GEN_INST_A:ENTITY work.DATA_GEN
259
      GENERIC MAP ( DATA_GEN_WIDTH =>16,
260
                    DOUT_WIDTH => 16,
261
                    DATA_PART_CNT => 1,
262
                    SEED => 2)
263
 
264
      PORT MAP (
265
            CLK =>CLKA,
266
                        RST => TB_RST,
267
            EN  => DO_WRITE_A,
268
            DATA_OUT => DINA_INT
269
           );
270
 
271
  WR_DATA_GEN_INST_B:ENTITY work.DATA_GEN
272
      GENERIC MAP ( DATA_GEN_WIDTH =>16,
273
                    DOUT_WIDTH =>16 ,
274
                    DATA_PART_CNT =>1,
275
                        SEED => 2)
276
 
277
      PORT MAP (
278
            CLK =>CLKB,
279
                        RST => TB_RST,
280
            EN  => DO_WRITE_B,
281
            DATA_OUT => DINB_INT
282
           );
283
 
284
 
285
PROCESS(CLKB)
286
BEGIN
287
  IF(RISING_EDGE(CLKB)) THEN
288
    IF(TB_RST='1') THEN
289
      LATCH_PORTB_WR_RD_COMPLETE<='0';
290
    ELSIF(PORTB_WR_RD_COMPLETE='1') THEN
291
      LATCH_PORTB_WR_RD_COMPLETE <='1';
292
    ELSIF(PORTA_WR_RD_HAPPENED='1') THEN
293
      LATCH_PORTB_WR_RD_COMPLETE<='0';
294
    END IF;
295
  END IF;
296
END PROCESS;
297
 
298
PROCESS(CLKA)
299
BEGIN
300
  IF(RISING_EDGE(CLKA)) THEN
301
    IF(TB_RST='1') THEN
302
      PORTB_WR_RD_L1 <='0';
303
      PORTB_WR_RD_L2 <='0';
304
    ELSE
305
     PORTB_WR_RD_L1 <= LATCH_PORTB_WR_RD_COMPLETE;
306
     PORTB_WR_RD_L2 <= PORTB_WR_RD_L1;
307
    END IF;
308
 END IF;
309
END PROCESS;
310
 
311
PORTA_WR_RD_EN: PROCESS(CLKA)
312
BEGIN
313
  IF(RISING_EDGE(CLKA)) THEN
314
    IF(TB_RST='1') THEN
315
      PORTA_WR_RD <='1';
316
    ELSE
317
      PORTA_WR_RD <= PORTB_WR_RD_L2;
318
    END IF;
319
  END IF;
320
END PROCESS;
321
 
322
PROCESS(CLKB)
323
BEGIN
324
  IF(RISING_EDGE(CLKB)) THEN
325
    IF(TB_RST='1') THEN
326
      PORTA_WR_RD_R1 <='0';
327
      PORTA_WR_RD_R2 <='0';
328
    ELSE
329
      PORTA_WR_RD_R1 <=PORTA_WR_RD;
330
      PORTA_WR_RD_R2 <=PORTA_WR_RD_R1;
331
    END IF;
332
 END IF;
333
END PROCESS;
334
 
335
PORTA_WR_RD_HAPPENED <= PORTA_WR_RD_R2;
336
 
337
 
338
 
339
PROCESS(CLKA)
340
BEGIN
341
  IF(RISING_EDGE(CLKA)) THEN
342
    IF(TB_RST='1') THEN
343
      LATCH_PORTA_WR_RD_COMPLETE<='0';
344
    ELSIF(PORTA_WR_RD_COMPLETE='1') THEN
345
      LATCH_PORTA_WR_RD_COMPLETE <='1';
346
    ELSIF(PORTB_WR_RD_HAPPENED='1') THEN
347
      LATCH_PORTA_WR_RD_COMPLETE<='0';
348
    END IF;
349
  END IF;
350
END PROCESS;
351
 
352
PROCESS(CLKB)
353
BEGIN
354
  IF(RISING_EDGE(CLKB)) THEN
355
    IF(TB_RST='1') THEN
356
      PORTA_WR_RD_L1 <='0';
357
      PORTA_WR_RD_L2 <='0';
358
    ELSE
359
     PORTA_WR_RD_L1 <= LATCH_PORTA_WR_RD_COMPLETE;
360
     PORTA_WR_RD_L2 <= PORTA_WR_RD_L1;
361
    END IF;
362
 END IF;
363
END PROCESS;
364
 
365
 
366
 
367
PORTB_EN: PROCESS(CLKB)
368
BEGIN
369
  IF(RISING_EDGE(CLKB)) THEN
370
    IF(TB_RST='1') THEN
371
      PORTB_WR_RD <='0';
372
    ELSE
373
      PORTB_WR_RD <= PORTA_WR_RD_L2;
374
    END IF;
375
  END IF;
376
END PROCESS;
377
 
378
PROCESS(CLKA)
379
BEGIN
380
  IF(RISING_EDGE(CLKA)) THEN
381
    IF(TB_RST='1') THEN
382
      PORTB_WR_RD_R1 <='0';
383
      PORTB_WR_RD_R2 <='0';
384
    ELSE
385
      PORTB_WR_RD_R1 <=PORTB_WR_RD;
386
      PORTB_WR_RD_R2 <=PORTB_WR_RD_R1;
387
    END IF;
388
 END IF;
389
END PROCESS;
390
 
391
---double registered of porta complete on portb clk
392
PORTB_WR_RD_HAPPENED <= PORTB_WR_RD_R2;
393
 
394
PORTA_WR_RD_COMPLETE <= '1' when count=(WRITE_CNT_A+READ_CNT_A) else '0';
395
 
396
start_counter: process(clka)
397
begin
398
  if(rising_edge(clka)) then
399
    if(TB_RST='1') then
400
       incr_cnt <= '0';
401
     elsif(porta_wr_rd ='1') then
402
       incr_cnt <='1';
403
     elsif(porta_wr_rd_complete='1') then
404
       incr_cnt <='0';
405
     end if;
406
  end if;
407
end process;
408
 
409
COUNTER: process(clka)
410
begin
411
  if(rising_edge(clka)) then
412
    if(TB_RST='1') then
413
      count <= 0;
414
    elsif(incr_cnt='1') then
415
      count<=count+1;
416
    end if;
417
    if(count=(WRITE_CNT_A+READ_CNT_A)) then
418
      count<=0;
419
    end if;
420
 end if;
421
end process;
422
 
423
DO_WRITE_A<='1' when (count <WRITE_CNT_A and incr_cnt='1') else '0';
424
DO_READ_A <='1' when (count >WRITE_CNT_A and incr_cnt='1') else '0';
425
 
426
PORTB_WR_RD_COMPLETE <= '1' when count_b=(WRITE_CNT_B+READ_CNT_B) else '0';
427
 
428
startb_counter: process(clkb)
429
begin
430
  if(rising_edge(clkb)) then
431
    if(TB_RST='1') then
432
       incr_cnt_b <= '0';
433
     elsif(portb_wr_rd ='1') then
434
       incr_cnt_b <='1';
435
     elsif(portb_wr_rd_complete='1') then
436
       incr_cnt_b <='0';
437
     end if;
438
  end if;
439
end process;
440
 
441
COUNTER_B: process(clkb)
442
begin
443
  if(rising_edge(clkb)) then
444
    if(TB_RST='1') then
445
      count_b <= 0;
446
    elsif(incr_cnt_b='1') then
447
      count_b<=count_b+1;
448
    end if;
449
    if(count_b=WRITE_CNT_B+READ_CNT_B) then
450
      count_b<=0;
451
    end if;
452
 end if;
453
end process;
454
 
455
DO_WRITE_B<='1' when (count_b <WRITE_CNT_B and incr_cnt_b='1') else '0';
456
DO_READ_B <='1' when (count_b >WRITE_CNT_B and incr_cnt_b='1') else '0';
457
 
458
  BEGIN_SHIFT_REG_A: FOR I IN 0 TO 4 GENERATE
459
  BEGIN
460
    DFF_RIGHT: IF I=0 GENERATE
461
     BEGIN
462
     SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP
463
        PORT MAP(
464
                 Q  => DO_READ_REG_A(0),
465
                 CLK =>CLKA,
466
                 RST=>TB_RST,
467
                 D  =>DO_READ_A
468
                );
469
     END GENERATE DFF_RIGHT;
470
    DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
471
     BEGIN
472
       SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP
473
         PORT MAP(
474
                 Q  => DO_READ_REG_A(I),
475
                 CLK =>CLKA,
476
                 RST=>TB_RST,
477
                 D  =>DO_READ_REG_A(I-1)
478
                );
479
      END GENERATE DFF_OTHERS;
480
   END GENERATE BEGIN_SHIFT_REG_A;
481
  BEGIN_SHIFT_REG_B: FOR I IN 0 TO 4 GENERATE
482
  BEGIN
483
    DFF_RIGHT: IF I=0 GENERATE
484
     BEGIN
485
     SHIFT_INST_0: ENTITY work.REGISTER_LOGIC_TDP
486
        PORT MAP(
487
                 Q  => DO_READ_REG_B(0),
488
                 CLK =>CLKB,
489
                 RST=>TB_RST,
490
                 D  =>DO_READ_B
491
                );
492
     END GENERATE DFF_RIGHT;
493
    DFF_OTHERS: IF ((I>0) AND (I<=4)) GENERATE
494
     BEGIN
495
       SHIFT_INST: ENTITY work.REGISTER_LOGIC_TDP
496
         PORT MAP(
497
                 Q  => DO_READ_REG_B(I),
498
                 CLK =>CLKB,
499
                 RST=>TB_RST,
500
                 D  =>DO_READ_REG_B(I-1)
501
                );
502
      END GENERATE DFF_OTHERS;
503
   END GENERATE BEGIN_SHIFT_REG_B;
504
 
505
 
506
 
507
REGCEA_PROCESS: PROCESS(CLKA)
508
  BEGIN
509
    IF(RISING_EDGE(CLKA)) THEN
510
      IF(TB_RST='1') THEN
511
         DO_READ_RA <= '0';
512
     ELSE
513
         DO_READ_RA <= DO_READ_A;
514
      END IF;
515
    END IF;
516
END PROCESS;
517
 
518
REGCEB_PROCESS: PROCESS(CLKB)
519
  BEGIN
520
    IF(RISING_EDGE(CLKB)) THEN
521
      IF(TB_RST='1') THEN
522
         DO_READ_RB <= '0';
523
     ELSE
524
         DO_READ_RB <= DO_READ_B;
525
      END IF;
526
    END IF;
527
END PROCESS;
528
 
529
---REGCEB SHOULD BE SET AT THE CORE OUTPUT REGISTER/EMBEEDED OUTPUT REGISTER
530
--- WHEN CORE OUTPUT REGISTER IS SET REGCE SHOUD BE SET TO '1' WHEN THE READ DATA IS AVAILABLE AT THE CORE OUTPUT REGISTER
531
--WHEN  CORE OUTPUT REGISTER IS '0' AND OUTPUT_PRIMITIVE_REG ='1', REGCE SHOULD BE SET WHEN THE DATA IS AVAILABLE AT THE PRIMITIVE OUTPUT REGISTER.
532
-- HERE, TO GENERAILIZE REGCE IS ASSERTED 
533
 
534
  ENA <= DO_READ_A OR DO_WRITE_A ;
535
  ENB <= DO_READ_B OR DO_WRITE_B ;
536
  WEA <= IF_THEN_ELSE(DO_WRITE_A='1', WEA_VCC,WEA_GND) ;
537
  WEB <= IF_THEN_ELSE(DO_WRITE_B='1', WEB_VCC,WEB_GND) ;
538
 
539
END ARCHITECTURE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.