OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [xilinx_avnet_lx9microbard/] [rtl/] [verilog/] [coregen/] [ram_16x8k_dp/] [example_design/] [ram_16x8k_dp_exdes.vhd] - Blame information for rev 167

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 167 olivier.gi
 
2
 
3
 
4
 
5
 
6
 
7
 
8
--------------------------------------------------------------------------------
9
--
10
-- BLK MEM GEN v7.1 Core - Top-level core wrapper
11
--
12
--------------------------------------------------------------------------------
13
--
14
-- (c) Copyright 2006-2010 Xilinx, Inc. All rights reserved.
15
--
16
-- This file contains confidential and proprietary information
17
-- of Xilinx, Inc. and is protected under U.S. and
18
-- international copyright and other intellectual property
19
-- laws.
20
--
21
-- DISCLAIMER
22
-- This disclaimer is not a license and does not grant any
23
-- rights to the materials distributed herewith. Except as
24
-- otherwise provided in a valid license issued to you by
25
-- Xilinx, and to the maximum extent permitted by applicable
26
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
27
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
28
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
29
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
30
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
31
-- (2) Xilinx shall not be liable (whether in contract or tort,
32
-- including negligence, or under any other theory of
33
-- liability) for any loss or damage of any kind or nature
34
-- related to, arising under or in connection with these
35
-- materials, including for any direct, or any indirect,
36
-- special, incidental, or consequential loss or damage
37
-- (including loss of data, profits, goodwill, or any type of
38
-- loss or damage suffered as a result of any action brought
39
-- by a third party) even if such damage or loss was
40
-- reasonably foreseeable or Xilinx had been advised of the
41
-- possibility of the same.
42
--
43
-- CRITICAL APPLICATIONS
44
-- Xilinx products are not designed or intended to be fail-
45
-- safe, or for use in any application requiring fail-safe
46
-- performance, such as life-support or safety devices or
47
-- systems, Class III medical devices, nuclear facilities,
48
-- applications related to the deployment of airbags, or any
49
-- other applications that could lead to death, personal
50
-- injury, or severe property or environmental damage
51
-- (individually and collectively, "Critical
52
-- Applications"). Customer assumes the sole risk and
53
-- liability of any use of Xilinx products in Critical
54
-- Applications, subject only to applicable laws and
55
-- regulations governing limitations on product liability.
56
--
57
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
58
-- PART OF THIS FILE AT ALL TIMES.
59
 
60
--------------------------------------------------------------------------------
61
--
62
-- Filename: ram_16x8k_dp_exdes.vhd
63
--
64
-- Description:
65
--   This is the actual BMG core wrapper.
66
--
67
--------------------------------------------------------------------------------
68
-- Author: IP Solutions Division
69
--
70
-- History: August 31, 2005 - First Release
71
--------------------------------------------------------------------------------
72
--
73
--------------------------------------------------------------------------------
74
-- Library Declarations
75
--------------------------------------------------------------------------------
76
 
77
LIBRARY IEEE;
78
USE IEEE.STD_LOGIC_1164.ALL;
79
USE IEEE.STD_LOGIC_ARITH.ALL;
80
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
81
 
82
LIBRARY UNISIM;
83
USE UNISIM.VCOMPONENTS.ALL;
84
 
85
--------------------------------------------------------------------------------
86
-- Entity Declaration
87
--------------------------------------------------------------------------------
88
ENTITY ram_16x8k_dp_exdes IS
89
  PORT (
90
      --Inputs - Port A
91
    ENA            : IN STD_LOGIC;  --opt port
92
 
93
    WEA            : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
94
    ADDRA          : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
95
 
96
    DINA           : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
97
 
98
    DOUTA          : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
99
 
100
    CLKA       : IN STD_LOGIC;
101
 
102
 
103
      --Inputs - Port B
104
    ENB            : IN STD_LOGIC;  --opt port
105
 
106
    WEB            : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
107
    ADDRB          : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
108
 
109
    DINB           : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
110
    DOUTB          : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
111
    CLKB           : IN STD_LOGIC
112
 
113
  );
114
 
115
END ram_16x8k_dp_exdes;
116
 
117
 
118
ARCHITECTURE xilinx OF ram_16x8k_dp_exdes IS
119
 
120
  COMPONENT BUFG IS
121
  PORT (
122
     I      : IN STD_ULOGIC;
123
     O      : OUT STD_ULOGIC
124
  );
125
  END COMPONENT;
126
 
127
  COMPONENT ram_16x8k_dp IS
128
  PORT (
129
      --Port A
130
    ENA        : IN STD_LOGIC;  --opt port
131
 
132
    WEA        : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
133
    ADDRA      : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
134
 
135
    DINA       : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
136
 
137
    DOUTA      : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
138
 
139
 
140
    CLKA       : IN STD_LOGIC;
141
 
142
 
143
      --Port B
144
    ENB        : IN STD_LOGIC;  --opt port
145
 
146
    WEB        : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
147
    ADDRB      : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
148
 
149
    DINB       : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
150
    DOUTB      : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
151
    CLKB       : IN STD_LOGIC
152
 
153
 
154
  );
155
  END COMPONENT;
156
 
157
  SIGNAL CLKA_buf     : STD_LOGIC;
158
  SIGNAL CLKB_buf     : STD_LOGIC;
159
  SIGNAL S_ACLK_buf   : STD_LOGIC;
160
 
161
BEGIN
162
 
163
  bufg_A : BUFG
164
    PORT MAP (
165
     I => CLKA,
166
     O => CLKA_buf
167
     );
168
 
169
  bufg_B : BUFG
170
    PORT MAP (
171
     I => CLKB,
172
     O => CLKB_buf
173
     );
174
 
175
 
176
  bmg0 : ram_16x8k_dp
177
    PORT MAP (
178
      --Port A
179
      ENA        => ENA,
180
 
181
      WEA        => WEA,
182
      ADDRA      => ADDRA,
183
 
184
      DINA       => DINA,
185
 
186
      DOUTA      => DOUTA,
187
 
188
      CLKA       => CLKA_buf,
189
 
190
 
191
      --Port B
192
      ENB        => ENB,
193
 
194
      WEB        => WEB,
195
      ADDRB      => ADDRB,
196
 
197
      DINB       => DINB,
198
      DOUTB      => DOUTB,
199
      CLKB       => CLKB_buf
200
 
201
    );
202
 
203
END xilinx;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.