OpenCores
URL https://opencores.org/ocsvn/openmsp430/openmsp430/trunk

Subversion Repositories openmsp430

[/] [openmsp430/] [trunk/] [fpga/] [xilinx_avnet_lx9microbard/] [rtl/] [verilog/] [coregen/] [ram_16x8k_dp/] [simulation/] [ram_16x8k_dp_synth.vhd] - Blame information for rev 167

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 167 olivier.gi
 
2
 
3
 
4
 
5
 
6
 
7
 
8
 
9
--------------------------------------------------------------------------------
10
--
11
-- BLK MEM GEN v7_2 Core - Synthesizable Testbench
12
--
13
--------------------------------------------------------------------------------
14
--
15
-- (c) Copyright 2006_3010 Xilinx, Inc. All rights reserved.
16
--
17
-- This file contains confidential and proprietary information
18
-- of Xilinx, Inc. and is protected under U.S. and
19
-- international copyright and other intellectual property
20
-- laws.
21
--
22
-- DISCLAIMER
23
-- This disclaimer is not a license and does not grant any
24
-- rights to the materials distributed herewith. Except as
25
-- otherwise provided in a valid license issued to you by
26
-- Xilinx, and to the maximum extent permitted by applicable
27
-- law: (1) THESE MATERIALS ARE MADE AVAILABLE "AS IS" AND
28
-- WITH ALL FAULTS, AND XILINX HEREBY DISCLAIMS ALL WARRANTIES
29
-- AND CONDITIONS, EXPRESS, IMPLIED, OR STATUTORY, INCLUDING
30
-- BUT NOT LIMITED TO WARRANTIES OF MERCHANTABILITY, NON-
31
-- INFRINGEMENT, OR FITNESS FOR ANY PARTICULAR PURPOSE; and
32
-- (2) Xilinx shall not be liable (whether in contract or tort,
33
-- including negligence, or under any other theory of
34
-- liability) for any loss or damage of any kind or nature
35
-- related to, arising under or in connection with these
36
-- materials, including for any direct, or any indirect,
37
-- special, incidental, or consequential loss or damage
38
-- (including loss of data, profits, goodwill, or any type of
39
-- loss or damage suffered as a result of any action brought
40
-- by a third party) even if such damage or loss was
41
-- reasonably foreseeable or Xilinx had been advised of the
42
-- possibility of the same.
43
--
44
-- CRITICAL APPLICATIONS
45
-- Xilinx products are not designed or intended to be fail-
46
-- safe, or for use in any application requiring fail-safe
47
-- performance, such as life-support or safety devices or
48
-- systems, Class III medical devices, nuclear facilities,
49
-- applications related to the deployment of airbags, or any
50
-- other applications that could lead to death, personal
51
-- injury, or severe property or environmental damage
52
-- (individually and collectively, "Critical
53
-- Applications"). Customer assumes the sole risk and
54
-- liability of any use of Xilinx products in Critical
55
-- Applications, subject only to applicable laws and
56
-- regulations governing limitations on product liability.
57
--
58
-- THIS COPYRIGHT NOTICE AND DISCLAIMER MUST BE RETAINED AS
59
-- PART OF THIS FILE AT ALL TIMES.
60
 
61
--------------------------------------------------------------------------------
62
--
63
-- Filename: ram_16x8k_dp_synth.vhd
64
--
65
-- Description:
66
--  Synthesizable Testbench
67
--------------------------------------------------------------------------------
68
-- Author: IP Solutions Division
69
--
70
-- History: Sep 12, 2011 - First Release
71
--------------------------------------------------------------------------------
72
--
73
--------------------------------------------------------------------------------
74
-- Library Declarations
75
--------------------------------------------------------------------------------
76
 
77
LIBRARY IEEE;
78
USE IEEE.STD_LOGIC_1164.ALL;
79
USE IEEE.STD_LOGIC_UNSIGNED.ALL;
80
USE IEEE.STD_LOGIC_ARITH.ALL;
81
USE IEEE.NUMERIC_STD.ALL;
82
USE IEEE.STD_LOGIC_MISC.ALL;
83
 
84
LIBRARY STD;
85
USE STD.TEXTIO.ALL;
86
 
87
--LIBRARY unisim;
88
--USE unisim.vcomponents.ALL;
89
 
90
LIBRARY work;
91
USE work.ALL;
92
USE work.BMG_TB_PKG.ALL;
93
 
94
ENTITY ram_16x8k_dp_synth IS
95
PORT(
96
        CLK_IN     : IN  STD_LOGIC;
97
        CLKB_IN     : IN  STD_LOGIC;
98
    RESET_IN   : IN  STD_LOGIC;
99
    STATUS     : OUT STD_LOGIC_VECTOR(8 DOWNTO 0) := (OTHERS => '0')   --ERROR STATUS OUT OF FPGA
100
    );
101
END ENTITY;
102
 
103
ARCHITECTURE ram_16x8k_dp_synth_ARCH OF ram_16x8k_dp_synth IS
104
 
105
 
106
COMPONENT ram_16x8k_dp_exdes
107
  PORT (
108
      --Inputs - Port A
109
    ENA            : IN STD_LOGIC;  --opt port
110
    WEA            : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
111
    ADDRA          : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
112
    DINA           : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
113
    DOUTA          : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
114
    CLKA       : IN STD_LOGIC;
115
 
116
      --Inputs - Port B
117
    ENB            : IN STD_LOGIC;  --opt port
118
    WEB            : IN STD_LOGIC_VECTOR(1 DOWNTO 0);
119
    ADDRB          : IN STD_LOGIC_VECTOR(12 DOWNTO 0);
120
    DINB           : IN STD_LOGIC_VECTOR(15 DOWNTO 0);
121
    DOUTB          : OUT STD_LOGIC_VECTOR(15 DOWNTO 0);
122
    CLKB           : IN STD_LOGIC
123
 
124
  );
125
 
126
END COMPONENT;
127
 
128
 
129
  SIGNAL CLKA: STD_LOGIC := '0';
130
  SIGNAL RSTA: STD_LOGIC := '0';
131
  SIGNAL ENA: STD_LOGIC := '0';
132
  SIGNAL ENA_R: STD_LOGIC := '0';
133
  SIGNAL WEA: STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
134
  SIGNAL WEA_R: STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
135
  SIGNAL ADDRA: STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0');
136
  SIGNAL ADDRA_R: STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0');
137
  SIGNAL DINA: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
138
  SIGNAL DINA_R: STD_LOGIC_VECTOR(15 DOWNTO 0) := (OTHERS => '0');
139
  SIGNAL DOUTA: STD_LOGIC_VECTOR(15 DOWNTO 0);
140
  SIGNAL CLKB: STD_LOGIC := '0';
141
  SIGNAL RSTB: STD_LOGIC := '0';
142
  SIGNAL ENB: STD_LOGIC := '0';
143
  SIGNAL ENB_R: STD_LOGIC := '0';
144
 
145
  SIGNAL WEB: STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
146
  SIGNAL WEB_R: STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
147
  SIGNAL ADDRB: STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0');
148
  SIGNAL ADDRB_R: STD_LOGIC_VECTOR(12 DOWNTO 0) := (OTHERS => '0');
149
  SIGNAL DINB: STD_LOGIC_VECTOR( 15 DOWNTO 0) := (OTHERS => '0');
150
  SIGNAL DINB_R: STD_LOGIC_VECTOR( 15 DOWNTO 0) := (OTHERS => '0');
151
  SIGNAL DOUTB: STD_LOGIC_VECTOR(15 DOWNTO 0);
152
  SIGNAL CHECKER_EN : STD_LOGIC:='0';
153
  SIGNAL CHECKER_EN_R : STD_LOGIC:='0';
154
  SIGNAL CHECK_DATA_TDP : STD_LOGIC_VECTOR(1 DOWNTO 0) := (OTHERS => '0');
155
  SIGNAL CHECKER_ENB_R : STD_LOGIC :=  '0';
156
  SIGNAL STIMULUS_FLOW : STD_LOGIC_VECTOR(22 DOWNTO 0) := (OTHERS =>'0');
157
  SIGNAL clk_in_i: STD_LOGIC;
158
 
159
  SIGNAL RESET_SYNC_R1 : STD_LOGIC:='1';
160
  SIGNAL RESET_SYNC_R2 : STD_LOGIC:='1';
161
  SIGNAL RESET_SYNC_R3 : STD_LOGIC:='1';
162
 
163
  SIGNAL clkb_in_i: STD_LOGIC;
164
  SIGNAL RESETB_SYNC_R1 : STD_LOGIC := '1';
165
  SIGNAL RESETB_SYNC_R2 : STD_LOGIC := '1';
166
  SIGNAL RESETB_SYNC_R3 : STD_LOGIC := '1';
167
  SIGNAL ITER_R0 : STD_LOGIC := '0';
168
  SIGNAL ITER_R1 : STD_LOGIC := '0';
169
  SIGNAL ITER_R2 : STD_LOGIC := '0';
170
 
171
  SIGNAL ISSUE_FLAG : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
172
  SIGNAL ISSUE_FLAG_STATUS : STD_LOGIC_VECTOR(7 DOWNTO 0) := (OTHERS => '0');
173
 
174
  BEGIN
175
 
176
--  clk_buf: bufg
177
--    PORT map(
178
--      i => CLK_IN,
179
--      o => clk_in_i
180
--    );
181
   clk_in_i <= CLK_IN;
182
   CLKA <= clk_in_i;
183
 
184
--  clkb_buf: bufg
185
--    PORT map(
186
--      i => CLKB_IN,
187
--      o => clkb_in_i
188
--    );
189
   clkb_in_i <= CLKB_IN;
190
   CLKB <= clkb_in_i;
191
   RSTA <= RESET_SYNC_R3 AFTER 50 ns;
192
 
193
 
194
   PROCESS(clk_in_i)
195
   BEGIN
196
      IF(RISING_EDGE(clk_in_i)) THEN
197
                 RESET_SYNC_R1 <= RESET_IN;
198
                 RESET_SYNC_R2 <= RESET_SYNC_R1;
199
                 RESET_SYNC_R3 <= RESET_SYNC_R2;
200
          END IF;
201
   END PROCESS;
202
 
203
   RSTB <= RESETB_SYNC_R3 AFTER 50 ns;
204
 
205
   PROCESS(clkb_in_i)
206
   BEGIN
207
      IF(RISING_EDGE(clkb_in_i)) THEN
208
                 RESETB_SYNC_R1 <= RESET_IN;
209
                 RESETB_SYNC_R2 <= RESETB_SYNC_R1;
210
                 RESETB_SYNC_R3 <= RESETB_SYNC_R2;
211
          END IF;
212
   END PROCESS;
213
 
214
PROCESS(CLKA)
215
BEGIN
216
  IF(RISING_EDGE(CLKA)) THEN
217
    IF(RESET_SYNC_R3='1') THEN
218
        ISSUE_FLAG_STATUS<= (OTHERS => '0');
219
          ELSE
220
        ISSUE_FLAG_STATUS <= ISSUE_FLAG_STATUS OR ISSUE_FLAG;
221
   END IF;
222
  END IF;
223
END PROCESS;
224
 
225
STATUS(7 DOWNTO 0) <= ISSUE_FLAG_STATUS;
226
 
227
 
228
   BMG_DATA_CHECKER_INST_A: ENTITY work.CHECKER
229
      GENERIC MAP (
230
         WRITE_WIDTH => 16,
231
                 READ_WIDTH  => 16      )
232
      PORT MAP (
233
         CLK     => CLKA,
234
         RST     => RSTA,
235
         EN      => CHECKER_EN_R,
236
         DATA_IN => DOUTA,
237
         STATUS  => ISSUE_FLAG(0)
238
           );
239
   PROCESS(CLKA)
240
   BEGIN
241
      IF(RISING_EDGE(CLKA)) THEN
242
         IF(RSTA='1') THEN
243
                    CHECKER_EN_R <= '0';
244
             ELSE
245
                    CHECKER_EN_R <= CHECK_DATA_TDP(0) AFTER 50 ns;
246
         END IF;
247
      END IF;
248
   END PROCESS;
249
 
250
   BMG_DATA_CHECKER_INST_B: ENTITY work.CHECKER
251
      GENERIC MAP (
252
         WRITE_WIDTH => 16,
253
                 READ_WIDTH  => 16      )
254
      PORT MAP (
255
         CLK     => CLKB,
256
         RST     => RSTB,
257
         EN      => CHECKER_ENB_R,
258
         DATA_IN => DOUTB,
259
         STATUS  => ISSUE_FLAG(1)
260
           );
261
   PROCESS(CLKB)
262
   BEGIN
263
      IF(RISING_EDGE(CLKB)) THEN
264
         IF(RSTB='1') THEN
265
                    CHECKER_ENB_R <= '0';
266
             ELSE
267
                    CHECKER_ENB_R <= CHECK_DATA_TDP(1) AFTER 50 ns;
268
         END IF;
269
      END IF;
270
   END PROCESS;
271
 
272
 
273
 
274
    BMG_STIM_GEN_INST:ENTITY work.BMG_STIM_GEN
275
      PORT MAP(
276
        CLKA => CLKA,
277
        CLKB => CLKB,
278
        TB_RST => RSTA,
279
        ADDRA  => ADDRA,
280
        DINA => DINA,
281
        ENA => ENA,
282
        WEA => WEA,
283
        WEB => WEB,
284
        ADDRB => ADDRB,
285
        DINB => DINB,
286
        ENB => ENB,
287
        CHECK_DATA => CHECK_DATA_TDP
288
      );
289
 
290
      PROCESS(CLKA)
291
      BEGIN
292
        IF(RISING_EDGE(CLKA)) THEN
293
                  IF(RESET_SYNC_R3='1') THEN
294
                        STATUS(8) <= '0';
295
                        iter_r2 <= '0';
296
                        iter_r1 <= '0';
297
                        iter_r0 <= '0';
298
                  ELSE
299
                        STATUS(8) <= iter_r2;
300
                        iter_r2 <= iter_r1;
301
                        iter_r1 <= iter_r0;
302
                        iter_r0 <= STIMULUS_FLOW(8);
303
              END IF;
304
            END IF;
305
      END PROCESS;
306
 
307
 
308
      PROCESS(CLKA)
309
      BEGIN
310
        IF(RISING_EDGE(CLKA)) THEN
311
                  IF(RESET_SYNC_R3='1') THEN
312
                      STIMULUS_FLOW <= (OTHERS => '0');
313
           ELSIF(WEA(0)='1') THEN
314
                      STIMULUS_FLOW <= STIMULUS_FLOW+1;
315
         END IF;
316
            END IF;
317
      END PROCESS;
318
 
319
 
320
      PROCESS(CLKA)
321
      BEGIN
322
        IF(RISING_EDGE(CLKA)) THEN
323
                  IF(RESET_SYNC_R3='1') THEN
324
            ENA_R <= '0' AFTER 50 ns;
325
            WEA_R  <= (OTHERS=>'0') AFTER 50 ns;
326
            DINA_R <= (OTHERS=>'0') AFTER 50 ns;
327
            ENB_R <= '0' AFTER 50 ns;
328
 
329
            WEB_R <= (OTHERS=>'0') AFTER 50 ns;
330
            DINB_R <= (OTHERS=>'0') AFTER 50 ns;
331
 
332
 
333
           ELSE
334
          ENA_R <= ENA AFTER 50 ns;
335
            WEA_R  <= WEA AFTER 50 ns;
336
            DINA_R <= DINA AFTER 50 ns;
337
          ENB_R <= ENB AFTER 50 ns;
338
 
339
            WEB_R <= WEB AFTER 50 ns;
340
            DINB_R <= DINB AFTER 50 ns;
341
 
342
         END IF;
343
            END IF;
344
      END PROCESS;
345
 
346
 
347
      PROCESS(CLKA)
348
      BEGIN
349
        IF(RISING_EDGE(CLKA)) THEN
350
                  IF(RESET_SYNC_R3='1') THEN
351
            ADDRA_R <= (OTHERS=> '0') AFTER 50 ns;
352
            ADDRB_R <= (OTHERS=> '0') AFTER 50 ns;
353
          ELSE
354
            ADDRA_R <= ADDRA AFTER 50 ns;
355
            ADDRB_R <= ADDRB AFTER 50 ns;
356
          END IF;
357
            END IF;
358
      END PROCESS;
359
 
360
 
361
    BMG_PORT: ram_16x8k_dp_exdes PORT MAP (
362
      --Port A
363
      ENA        => ENA_R,
364
      WEA        => WEA_R,
365
      ADDRA      => ADDRA_R,
366
      DINA       => DINA_R,
367
      DOUTA      => DOUTA,
368
      CLKA       => CLKA,
369
      --Port B
370
      ENB        => ENB_R,
371
 
372
      WEB        => WEB_R,
373
      ADDRB      => ADDRB_R,
374
 
375
      DINB       => DINB_R,
376
      DOUTB      => DOUTB,
377
      CLKB       => CLKB
378
 
379
    );
380
END ARCHITECTURE;

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.