OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [tags/] [gnu-src/] [gcc-4.5.1/] [gcc-4.5.1-or32-1.0rc2/] [gcc/] [testsuite/] [gcc.dg/] [vmx/] [varargs-2.c] - Blame information for rev 384

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 298 jeremybenn
#include "harness.h"
2
#include <stdarg.h>
3
 
4
static void
5
varargsn003(vector float p1, vector float p2, vector float p3, ...)
6
{
7
  va_list ap;
8
  vector float i1;
9
  vector float i2;
10
  vector float i3;
11
  vector float i4;
12
  vector float i5;
13
  vector float i6;
14
  vector float i7;
15
  vector float i8;
16
  vector float i9;
17
  vector float i10;
18
  vector float i11;
19
  vector float i12;
20
  vector float i13;
21
  vector float i14;
22
  vector float i15;
23
  int i16;
24
 
25
  va_start(ap, p3);
26
  i1 = p1;
27
  i2 = p2;
28
  i3 = p3;
29
  i4 = va_arg(ap, vector float);
30
  i5 = va_arg(ap, vector float);
31
  i6 = va_arg(ap, vector float);
32
  i7 = va_arg(ap, vector float);
33
  i8 = va_arg(ap, vector float);
34
  i9 = va_arg(ap, vector float);
35
  i10 = va_arg(ap, vector float);
36
  i11 = va_arg(ap, vector float);
37
  i12 = va_arg(ap, vector float);
38
  i13 = va_arg(ap, vector float);
39
  i14 = va_arg(ap, vector float);
40
  i15 = va_arg(ap, vector float);
41
  i16 = va_arg(ap, int);
42
  va_end(ap);
43
 
44
  check(vec_all_eq(i1, ((vector float){1.14e+09, 4.29e+08, -1.58e+09, 1.66e+09})), "i1");
45
  check(vec_all_eq(i2, ((vector float){-1.83e+09, -6.79e+08, 1.58e+09, -3.38e+08})), "i2");
46
  check(vec_all_eq(i3, ((vector float){-1.19e+09, -4.27e+08, 6.84e+08, 1.21e+08})), "i3");
47
  check(vec_all_eq(i4, ((vector float){1.47e+09, 9.17e+08, 3.45e+08, -1.17e+08})), "i4");
48
  check(vec_all_eq(i5, ((vector float){3.08e+08, 1.2e+08, 1.73e+09, 1.77e+09})), "i5");
49
  check(vec_all_eq(i6, ((vector float){1.89e+09, 2.06e+09, 2.64e+08, 1.05e+09})), "i6");
50
  check(vec_all_eq(i7, ((vector float){5.45e+08, 1.37e+09, -8.2e+08, 4.32e+07})), "i7");
51
  check(vec_all_eq(i8, ((vector float){3.47e+08, -1.66e+09, 1.25e+09, 1.53e+09})), "i8");
52
  check(vec_all_eq(i9, ((vector float){-6.04e+08, 1.48e+09, -1.48e+09, 1.92e+09})), "i9");
53
  check(vec_all_eq(i10, ((vector float){-1.66e+09, -8.92e+08, -3.78e+08, 2.11e+09})), "i10");
54
  check(vec_all_eq(i11, ((vector float){-7.46e+08, 4.01e+08, -1.78e+09, 1.83e+09})), "i11");
55
  check(vec_all_eq(i12, ((vector float){1.83e+09, 5.73e+08, -2.96e+08, -7.46e+08})), "i12");
56
  check(vec_all_eq(i13, ((vector float){-2.01e+09, 9.89e+08, -1.92e+09, 2.09e+09})), "i13");
57
  check(vec_all_eq(i14, ((vector float){1.95e+09, -2.41e+08, 2.67e+08, 1.67e+09})), "i14");
58
  check(vec_all_eq(i15, ((vector float){-2.12e+09, 8.18e+08, 9.47e+08, -1.25e+09})), "i15");
59
  check(i16 == -947264420, "i16");
60
}
61
 
62
static void test()
63
{
64
  varargsn003(((vector float){1.14e+09, 4.29e+08, -1.58e+09, 1.66e+09}),
65
              ((vector float){-1.83e+09, -6.79e+08, 1.58e+09, -3.38e+08}),
66
              ((vector float){-1.19e+09, -4.27e+08, 6.84e+08, 1.21e+08}),
67
              ((vector float){1.47e+09, 9.17e+08, 3.45e+08, -1.17e+08}),
68
              ((vector float){3.08e+08, 1.2e+08, 1.73e+09, 1.77e+09}),
69
              ((vector float){1.89e+09, 2.06e+09, 2.64e+08, 1.05e+09}),
70
              ((vector float){5.45e+08, 1.37e+09, -8.2e+08, 4.32e+07}),
71
              ((vector float){3.47e+08, -1.66e+09, 1.25e+09, 1.53e+09}),
72
              ((vector float){-6.04e+08, 1.48e+09, -1.48e+09, 1.92e+09}),
73
              ((vector float){-1.66e+09, -8.92e+08, -3.78e+08, 2.11e+09}),
74
              ((vector float){-7.46e+08, 4.01e+08, -1.78e+09, 1.83e+09}),
75
              ((vector float){1.83e+09, 5.73e+08, -2.96e+08, -7.46e+08}),
76
              ((vector float){-2.01e+09, 9.89e+08, -1.92e+09, 2.09e+09}),
77
              ((vector float){1.95e+09, -2.41e+08, 2.67e+08, 1.67e+09}), ((vector float){-2.12e+09, 8.18e+08, 9.47e+08, -1.25e+09}), -947264420);
78
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.