OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [tags/] [gnu-src/] [gcc-4.5.1/] [gcc-4.5.1-or32-1.0rc3/] [libstdc++-v3/] [testsuite/] [26_numerics/] [random/] [shuffle_order_engine/] [operators/] [serialize.cc] - Blame information for rev 516

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 424 jeremybenn
// { dg-options "-std=c++0x" }
2
// { dg-require-cstdint "" }
3
//
4
// 2008-11-24  Edward M. Smith-Rowland <3dw4rd@verizon.net>
5
//
6
// Copyright (C) 2008, 2009 Free Software Foundation, Inc.
7
//
8
// This file is part of the GNU ISO C++ Library.  This library is free
9
// software; you can redistribute it and/or modify it under the
10
// terms of the GNU General Public License as published by the
11
// Free Software Foundation; either version 3, or (at your option)
12
// any later version.
13
//
14
// This library is distributed in the hope that it will be useful,
15
// but WITHOUT ANY WARRANTY; without even the implied warranty of
16
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
17
// GNU General Public License for more details.
18
//
19
// You should have received a copy of the GNU General Public License along
20
// with this library; see the file COPYING3.  If not see
21
// <http://www.gnu.org/licenses/>.
22
 
23
// 26.4.4.1 class template discard_block_engine [rand.adapt.disc]
24
// 26.4.2.3 concept RandomNumberEngineAdaptor [rand.concept.adapt]
25
 
26
#include <random>
27
#include <sstream>
28
#include <testsuite_hooks.h>
29
 
30
void
31
test01()
32
{
33
  bool test __attribute__((unused)) = true;
34
 
35
  std::stringstream str;
36
  std::shuffle_order_engine
37
    <
38
      std::linear_congruential_engine<uint_fast32_t,16807UL, 0UL, 2147483647UL>,
39
      256
40
    > u, v;
41
 
42
  u(); // advance
43
  str << u;
44
 
45
  VERIFY( !(u == v) );
46
 
47
  str >> v;
48
  VERIFY( u == v );
49
}
50
 
51
int main()
52
{
53
  test01();
54
  return 0;
55
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.