OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [tags/] [gnu-src/] [gdb-7.2/] [gdb-7.2-or32-1.0rc1/] [gdb/] [cli-out.h] - Blame information for rev 341

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 330 jeremybenn
/* Output generating routines for GDB CLI.
2
   Copyright (C) 1999, 2000, 2007, 2008, 2009, 2010
3
   Free Software Foundation, Inc.
4
   Contributed by Cygnus Solutions.
5
 
6
   This file is part of GDB.
7
 
8
   This program is free software; you can redistribute it and/or modify
9
   it under the terms of the GNU General Public License as published by
10
   the Free Software Foundation; either version 3 of the License, or
11
   (at your option) any later version.
12
 
13
   This program is distributed in the hope that it will be useful,
14
   but WITHOUT ANY WARRANTY; without even the implied warranty of
15
   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
16
   GNU General Public License for more details.
17
 
18
   You should have received a copy of the GNU General Public License
19
   along with this program.  If not, see <http://www.gnu.org/licenses/>.  */
20
 
21
#ifndef CLI_OUT_H
22
#define CLI_OUT_H
23
 
24
#include "ui-out.h"
25
 
26
/* These are exported so that they can be extended by other `ui_out'
27
   implementations, like TUI's.  */
28
 
29
struct cli_ui_out_data
30
  {
31
    struct ui_file *stream;
32
    struct ui_file *original_stream;
33
    int suppress_output;
34
  };
35
 
36
extern struct ui_out_impl cli_ui_out_impl;
37
 
38
 
39
extern struct ui_out *cli_out_new (struct ui_file *stream);
40
 
41
extern void cli_out_data_ctor (struct cli_ui_out_data *data,
42
                               struct ui_file *stream);
43
 
44
extern struct ui_file *cli_out_set_stream (struct ui_out *uiout,
45
                                           struct ui_file *stream);
46
 
47
#endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.