OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-dev/] [or1k-gcc/] [gcc/] [config/] [i386/] [popcntintrin.h] - Blame information for rev 709

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 709 jeremybenn
/* Copyright (C) 2009 Free Software Foundation, Inc.
2
 
3
   This file is part of GCC.
4
 
5
   GCC is free software; you can redistribute it and/or modify
6
   it under the terms of the GNU General Public License as published by
7
   the Free Software Foundation; either version 3, or (at your option)
8
   any later version.
9
 
10
   GCC is distributed in the hope that it will be useful,
11
   but WITHOUT ANY WARRANTY; without even the implied warranty of
12
   MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
13
   GNU General Public License for more details.
14
 
15
   Under Section 7 of GPL version 3, you are granted additional
16
   permissions described in the GCC Runtime Library Exception, version
17
   3.1, as published by the Free Software Foundation.
18
 
19
   You should have received a copy of the GNU General Public License and
20
   a copy of the GCC Runtime Library Exception along with this program;
21
   see the files COPYING3 and COPYING.RUNTIME respectively.  If not, see
22
   <http://www.gnu.org/licenses/>.  */
23
 
24
#ifndef __POPCNT__
25
# error "POPCNT instruction set not enabled"
26
#endif /* __POPCNT__ */
27
 
28
#ifndef _POPCNTINTRIN_H_INCLUDED
29
#define _POPCNTINTRIN_H_INCLUDED
30
 
31
/* Calculate a number of bits set to 1.  */
32
extern __inline int __attribute__((__gnu_inline__, __always_inline__, __artificial__))
33
_mm_popcnt_u32 (unsigned int __X)
34
{
35
  return __builtin_popcount (__X);
36
}
37
 
38
#ifdef __x86_64__
39
extern __inline long long  __attribute__((__gnu_inline__, __always_inline__, __artificial__))
40
_mm_popcnt_u64 (unsigned long long __X)
41
{
42
  return __builtin_popcountll (__X);
43
}
44
#endif
45
 
46
#endif /* _POPCNTINTRIN_H_INCLUDED */

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.