OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-dev/] [or1k-gcc/] [gcc/] [testsuite/] [gcc.dg/] [vect/] [slp-14.c] - Blame information for rev 705

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 689 jeremybenn
/* { dg-require-effective-target vect_int } */
2
 
3
#include <stdarg.h>
4
#include "tree-vect.h"
5
 
6
#define N 8 
7
 
8
unsigned int in[N*8] = {0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63};
9
unsigned short in2[N*16] = {0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63};
10
 
11
int
12
main1 (int n)
13
{
14
  int i;
15
  unsigned int out[N*8], a0, a1, a2, a3, a4, a5, a6, a7, b1, b0, b2, b3, b4, b5, b6, b7;
16
  unsigned short out2[N*16];
17
 
18
  /* Multiple types are now SLPable.  */
19
  for (i = 0; i < n; i++)
20
    {
21
      a0 = in[i*8] + 5;
22
      a1 = in[i*8 + 1] + 6;
23
      a2 = in[i*8 + 2] + 7;
24
      a3 = in[i*8 + 3] + 8;
25
      a4 = in[i*8 + 4] + 9;
26
      a5 = in[i*8 + 5] + 10;
27
      a6 = in[i*8 + 6] + 11;
28
      a7 = in[i*8 + 7] + 12;
29
 
30
      b0 = a0 * 3;
31
      b1 = a1 * 2;
32
      b2 = a2 * 12;
33
      b3 = a3 * 5;
34
      b4 = a4 * 8;
35
      b5 = a5 * 4;
36
      b6 = a6 * 3;
37
      b7 = a7 * 2;
38
 
39
      out[i*8] = b0 - 2;
40
      out[i*8 + 1] = b1 - 3;
41
      out[i*8 + 2] = b2 - 2;
42
      out[i*8 + 3] = b3 - 1;
43
      out[i*8 + 4] = b4 - 8;
44
      out[i*8 + 5] = b5 - 7;
45
      out[i*8 + 6] = b6 - 3;
46
      out[i*8 + 7] = b7 - 7;
47
 
48
      out2[i*16] = in2[i*16] + 2;
49
      out2[i*16 + 1] = in2[i*16 + 1] + 3;
50
      out2[i*16 + 2] = in2[i*16 + 2] + 4;
51
      out2[i*16 + 3] = in2[i*16 + 3] + 3;
52
      out2[i*16 + 4] = in2[i*16 + 4] + 2;
53
      out2[i*16 + 5] = in2[i*16 + 5] + 3;
54
      out2[i*16 + 6] = in2[i*16 + 6] + 2;
55
      out2[i*16 + 7] = in2[i*16 + 7] + 4;
56
      out2[i*16 + 8] = in2[i*16 + 8] + 2;
57
      out2[i*16 + 9] = in2[i*16 + 9] + 5;
58
      out2[i*16 + 10] = in2[i*16 + 10] + 2;
59
      out2[i*16 + 11] = in2[i*16 + 11] + 3;
60
      out2[i*16 + 12] = in2[i*16 + 12] + 4;
61
      out2[i*16 + 13] = in2[i*16 + 13] + 4;
62
      out2[i*16 + 14] = in2[i*16 + 14] + 3;
63
      out2[i*16 + 15] = in2[i*16 + 15] + 2;
64
}
65
 
66
  /* check results:  */
67
  for (i = 0; i < n; i++)
68
    {
69
      if (out[i*8] !=  (in[i*8] + 5) * 3 - 2
70
         || out[i*8 + 1] != (in[i*8 + 1] + 6) * 2 - 3
71
         || out[i*8 + 2] != (in[i*8 + 2] + 7) * 12 - 2
72
         || out[i*8 + 3] != (in[i*8 + 3] + 8) * 5 - 1
73
         || out[i*8 + 4] != (in[i*8 + 4] + 9) * 8 - 8
74
         || out[i*8 + 5] != (in[i*8 + 5] + 10) * 4 - 7
75
         || out[i*8 + 6] != (in[i*8 + 6] + 11) * 3 - 3
76
         || out[i*8 + 7] != (in[i*8 + 7] + 12) * 2 - 7)
77
        abort ();
78
 
79
      if (out2[i*16] !=  in2[i*16] + 2
80
         || out2[i*16 + 1] != in2[i*16 + 1] + 3
81
         || out2[i*16 + 2] != in2[i*16 + 2] + 4
82
         || out2[i*16 + 3] != in2[i*16 + 3] + 3
83
         || out2[i*16 + 4] != in2[i*16 + 4] + 2
84
         || out2[i*16 + 5] != in2[i*16 + 5] + 3
85
         || out2[i*16 + 6] != in2[i*16 + 6] + 2
86
         || out2[i*16 + 7] != in2[i*16 + 7] + 4
87
         || out2[i*16 + 8] != in2[i*16 + 8] + 2
88
         || out2[i*16 + 9] != in2[i*16 + 9] + 5
89
         || out2[i*16 + 10] != in2[i*16 + 10] + 2
90
         || out2[i*16 + 11] != in2[i*16 + 11] + 3
91
         || out2[i*16 + 12] != in2[i*16 + 12] + 4
92
         || out2[i*16 + 13] != in2[i*16 + 13] + 4
93
         || out2[i*16 + 14] != in2[i*16 + 14] + 3
94
         || out2[i*16 + 15] != in2[i*16 + 15] + 2)
95
        abort ();
96
 
97
    }
98
 
99
 
100
 
101
  return 0;
102
}
103
 
104
int main (void)
105
{
106
  check_vect ();
107
 
108
  main1 (N);
109
 
110
  return 0;
111
}
112
 
113
/* { dg-final { scan-tree-dump-times "vectorized 1 loops" 1 "vect"  { target vect_int_mult } } }  */
114
/* { dg-final { scan-tree-dump-times "vectorizing stmts using SLP" 2 "vect" { target vect_int_mult } } } */
115
/* { dg-final { cleanup-tree-dump "vect" } } */
116
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.