OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-dev/] [or1k-gcc/] [gcc/] [testsuite/] [gcc.dg/] [vect/] [slp-17.c] - Blame information for rev 689

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 689 jeremybenn
/* { dg-require-effective-target vect_int } */
2
 
3
#include <stdarg.h>
4
#include "tree-vect.h"
5
 
6
#define N 8 
7
 
8
unsigned short out[N*8];
9
unsigned short in[N*8] = {0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63};
10
unsigned short in2[N*8] = {0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63};
11
unsigned short out2[N*8];
12
 
13
int
14
main1 ()
15
{
16
  int i;
17
 
18
  for (i = 0; i < N*2; i++)
19
    {
20
      out[i*2] = in[i*2] + 5;
21
      out[i*2 + 1] = in[i*2 + 1] + 6;
22
 
23
      out2[i*4] = in2[i*4] + 2;
24
      out2[i*4 + 1] = in2[i*4 + 1] + 2;
25
      out2[i*4 + 2] = in2[i*4 + 2] + 1;
26
      out2[i*4 + 3] = in2[i*4 + 3] + 3;
27
    }
28
 
29
  /* check results:  */
30
  for (i = 0; i < N*2; i++)
31
    {
32
      if (out[i*2] != in[i*2] + 5
33
         || out[i*2 + 1] != in[i*2 + 1] + 6
34
         || out2[i*4] !=  in2[i*4] + 2
35
         || out2[i*4 + 1] != in2[i*4 + 1] + 2
36
         || out2[i*4 + 2] != in2[i*4 + 2] + 1
37
         || out2[i*4 + 3] != in2[i*4 + 3] + 3)
38
        abort ();
39
    }
40
 
41
  return 0;
42
}
43
 
44
int main (void)
45
{
46
  check_vect ();
47
 
48
  main1 ();
49
 
50
  return 0;
51
}
52
 
53
/* { dg-final { scan-tree-dump-times "vectorized 1 loops" 1 "vect" } } */
54
/* { dg-final { scan-tree-dump-times "vectorizing stmts using SLP" 2 "vect"  } } */
55
/* { dg-final { cleanup-tree-dump "vect" } } */
56
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.