OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-dev/] [or1k-gcc/] [gcc/] [testsuite/] [gcc.dg/] [vect/] [slp-33.c] - Blame information for rev 749

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 689 jeremybenn
/* { dg-require-effective-target vect_int } */
2
 
3
#include <stdarg.h>
4
#include "tree-vect.h"
5
 
6
#define N 8 
7
 
8
int
9
main1 ()
10
{
11
  int i;
12
  unsigned int out[N*8], a0, a1, a2, a3, a4, a5, a6, a7, b1, b0, b2, b3, b4, b5, b6, b7;
13
  unsigned int in[N*8] = {0,1,2,3,4,5,6,7,8,9,10,11,12,13,14,15,16,17,18,19,20,21,22,23,24,25,26,27,28,29,30,31,32,33,34,35,36,37,38,39,40,41,42,43,44,45,46,47,48,49,50,51,52,53,54,55,56,57,58,59,60,61,62,63};
14
  float out2[N*8];
15
 
16
  /* SLP with unrolling by 4.  */
17
  for (i = 0; i < N; i++)
18
    {
19
      a0 = in[i*7] + 5;
20
      a1 = in[i*7 + 1] + 6;
21
      a2 = in[i*7 + 2] + 7;
22
      a3 = in[i*7 + 3] + 8;
23
      a4 = in[i*7 + 4] + 9;
24
      a5 = in[i*7 + 5] + 10;
25
      a6 = in[i*7 + 6] + 11;
26
 
27
      b0 = a0 * 3;
28
      b1 = a1 * 2;
29
      b2 = a2 * 12;
30
      b3 = a3 * 5;
31
      b4 = a4 * 8;
32
      b5 = a5 * 4;
33
      b6 = a6 * 3;
34
 
35
      out[i*7] = b0 - 2;
36
      out[i*7 + 1] = b1 - 3;
37
      out[i*7 + 2] = b2 - 2;
38
      out[i*7 + 3] = b3 - 1;
39
      out[i*7 + 4] = b4 - 8;
40
      out[i*7 + 5] = b5 - 7;
41
      out[i*7 + 6] = b6 - 3;
42
    }
43
 
44
  /* check results:  */
45
  for (i = 0; i < N; i++)
46
    {
47
      if (out[i*7] !=  (in[i*7] + 5) * 3 - 2
48
         || out[i*7 + 1] != (in[i*7 + 1] + 6) * 2 - 3
49
         || out[i*7 + 2] != (in[i*7 + 2] + 7) * 12 - 2
50
         || out[i*7 + 3] != (in[i*7 + 3] + 8) * 5 - 1
51
         || out[i*7 + 4] != (in[i*7 + 4] + 9) * 8 - 8
52
         || out[i*7 + 5] != (in[i*7 + 5] + 10) * 4 - 7
53
         || out[i*7 + 6] != (in[i*7 + 6] + 11) * 3 - 3)
54
        abort ();
55
    }
56
 
57
  /* SLP with unrolling by 4.  */
58
  for (i = 0; i < N*2; i++)
59
    {
60
      out[i*3] = (in[i*3] + 2) * 3;
61
      out[i*3 + 1] = (in[i*3 + 1] + 2) * 7;
62
      out[i*3 + 2] = (in[i*3 + 2] + 7) * 3;
63
    }
64
 
65
  /* check results:  */
66
  for (i = 0; i < N*2; i++)
67
    {
68
      if (out[i*3] !=  (in[i*3] + 2) * 3
69
         || out[i*3 + 1] != (in[i*3 + 1] + 2) * 7
70
         || out[i*3 + 2] != (in[i*3 + 2] + 7) * 3)
71
        abort ();
72
    }
73
 
74
  /* SLP with unrolling by 4.  */
75
  for (i = 0; i < N*2; i++)
76
    {
77
      out2[i*3] = (float) (in[i*3] * 2 + 5) ;
78
      out2[i*3 + 1] = (float) (in[i*3 + 1] * 3 + 7);
79
      out2[i*3 + 2] = (float) (in[i*3 + 2] * 5 + 4);
80
    }
81
 
82
  /* check results:  */
83
  for (i = 0; i < N*2; i++)
84
    {
85
      if (out2[i*3] !=  (float) (in[i*3] * 2 + 5)
86
         || out2[i*3 + 1] != (float) (in[i*3 + 1] * 3 + 7)
87
         || out2[i*3 + 2] != (float) (in[i*3 + 2] * 5 + 4))
88
        abort ();
89
    }
90
 
91
 
92
  return 0;
93
}
94
 
95
int main (void)
96
{
97
  check_vect ();
98
 
99
  main1 ();
100
 
101
  return 0;
102
}
103
 
104
/* { dg-final { scan-tree-dump-times "vectorized 3 loops" 1 "vect"  {target {vect_uintfloat_cvt && vect_int_mult} } } } */
105
/* { dg-final { scan-tree-dump-times "vectorized 2 loops" 1 "vect"  {target {{! { vect_uintfloat_cvt}} && vect_int_mult} } } } */
106
/* { dg-final { scan-tree-dump-times "vectorized 0 loops" 1 "vect"  {target {{! { vect_uintfloat_cvt}} && {! {vect_int_mult}}} } } } */
107
/* { dg-final { scan-tree-dump-times "vectorizing stmts using SLP" 3 "vect" {target {vect_uintfloat_cvt && vect_int_mult} } } } */
108
/* { dg-final { scan-tree-dump-times "vectorizing stmts using SLP" 2 "vect"  {target {{! { vect_uintfloat_cvt}} && vect_int_mult} } } } */
109
/* { dg-final { scan-tree-dump-times "vectorizing stmts using SLP" 0 "vect"  {target {{! { vect_uintfloat_cvt}} && {! {vect_int_mult}}} } } } */
110
/* { dg-final { cleanup-tree-dump "vect" } } */
111
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.