OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-dev/] [or1k-gcc/] [libstdc++-v3/] [testsuite/] [27_io/] [basic_filebuf/] [pbackfail/] [char/] [9761.cc] - Blame information for rev 742

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 742 jeremybenn
// 2003-06-02 Paolo Carlini <pcarlini@unitus.it>
2
 
3
// Copyright (C) 2003, 2009 Free Software Foundation, Inc.
4
//
5
// This file is part of the GNU ISO C++ Library.  This library is free
6
// software; you can redistribute it and/or modify it under the
7
// terms of the GNU General Public License as published by the
8
// Free Software Foundation; either version 3, or (at your option)
9
// any later version.
10
 
11
// This library is distributed in the hope that it will be useful,
12
// but WITHOUT ANY WARRANTY; without even the implied warranty of
13
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14
// GNU General Public License for more details.
15
 
16
// You should have received a copy of the GNU General Public License along
17
// with this library; see the file COPYING3.  If not see
18
// <http://www.gnu.org/licenses/>.
19
 
20
// 27.8.1.4 Overridden virtual functions
21
 
22
// { dg-require-fileio "" }
23
 
24
#include <fstream>
25
#include <testsuite_hooks.h>
26
 
27
const char name_01[] = "filebuf_virtuals-1.txt"; // file with data in it
28
 
29
// libstdc++/9761
30
void test01()
31
{
32
  using namespace std;
33
  bool test __attribute__((unused)) = true;
34
 
35
  filebuf fbuf;
36
  filebuf::int_type r1, r2;
37
 
38
  fbuf.open(name_01, ios_base::in);
39
 
40
  fbuf.sbumpc();
41
  fbuf.sbumpc();
42
 
43
  r1 = fbuf.sputbackc('a');
44
  r2 = fbuf.sputbackc('b');
45
 
46
  fbuf.close();
47
 
48
  VERIFY( r1 != filebuf::traits_type::eof() );
49
  VERIFY( r2 == filebuf::traits_type::eof() );
50
}
51
 
52
int main()
53
{
54
  test01();
55
  return 0;
56
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.