OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-old/] [binutils-2.18.50/] [gas/] [testsuite/] [gas/] [i386/] [prescott.d] - Blame information for rev 816

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 38 julius
#objdump: -dw
2
#name: i386 prescott
3
 
4
.*: +file format .*
5
 
6
Disassembly of section .text:
7
 
8
0+000 :
9
   0:   66 0f d0 01 [   ]*addsubpd \(%ecx\),%xmm0
10
   4:   66 0f d0 ca [   ]*addsubpd %xmm2,%xmm1
11
   8:   f2 0f d0 13 [   ]*addsubps \(%ebx\),%xmm2
12
   c:   f2 0f d0 dc [   ]*addsubps %xmm4,%xmm3
13
  10:   df 88 90 90 90 90 [     ]*fisttp -0x6f6f6f70\(%eax\)
14
  16:   db 88 90 90 90 90 [     ]*fisttpl -0x6f6f6f70\(%eax\)
15
  1c:   dd 88 90 90 90 90 [     ]*fisttpll -0x6f6f6f70\(%eax\)
16
  22:   66 0f 7c 65 00 [        ]*haddpd 0x0\(%ebp\),%xmm4
17
  27:   66 0f 7c ee [   ]*haddpd %xmm6,%xmm5
18
  2b:   f2 0f 7c 37 [   ]*haddps \(%edi\),%xmm6
19
  2f:   f2 0f 7c f8 [   ]*haddps %xmm0,%xmm7
20
  33:   66 0f 7d c1 [   ]*hsubpd %xmm1,%xmm0
21
  37:   66 0f 7d 0a [   ]*hsubpd \(%edx\),%xmm1
22
  3b:   f2 0f 7d d2 [   ]*hsubps %xmm2,%xmm2
23
  3f:   f2 0f 7d 1c 24 [        ]*hsubps \(%esp\),%xmm3
24
  44:   f2 0f f0 2e [   ]*lddqu  \(%esi\),%xmm5
25
  48:   0f 01 c8 [      ]*monitor %eax,%ecx,%edx
26
  4b:   0f 01 c8 [      ]*monitor %eax,%ecx,%edx
27
  4e:   f2 0f 12 f7 [   ]*movddup %xmm7,%xmm6
28
  52:   f2 0f 12 38 [   ]*movddup \(%eax\),%xmm7
29
  56:   f3 0f 16 01 [   ]*movshdup \(%ecx\),%xmm0
30
  5a:   f3 0f 16 ca [   ]*movshdup %xmm2,%xmm1
31
  5e:   f3 0f 12 13 [   ]*movsldup \(%ebx\),%xmm2
32
  62:   f3 0f 12 dc [   ]*movsldup %xmm4,%xmm3
33
  66:   0f 01 c9 [      ]*mwait  %eax,%ecx
34
  69:   0f 01 c9 [      ]*mwait  %eax,%ecx
35
  6c:   67 0f 01 c8 [   ]*monitor %ax,%ecx,%edx
36
  70:   67 0f 01 c8 [   ]*monitor %ax,%ecx,%edx
37
  74:   f2 0f 12 38 [   ]*movddup \(%eax\),%xmm7
38
  78:   f2 0f 12 38 [   ]*movddup \(%eax\),%xmm7
39
#pass

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.