OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [gnu-old/] [gdb-7.1/] [gdb/] [testsuite/] [gdb.cp/] [nsdecl.exp] - Blame information for rev 833

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 227 jeremybenn
# Copyright 2008 Free Software Foundation, Inc.
2
 
3
# This program is free software; you can redistribute it and/or modify
4
# it under the terms of the GNU General Public License as published by
5
# the Free Software Foundation; either version 3 of the License, or
6
# (at your option) any later version.
7
#
8
# This program is distributed in the hope that it will be useful,
9
# but WITHOUT ANY WARRANTY; without even the implied warranty of
10
# MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
11
# GNU General Public License for more details.
12
#
13
# You should have received a copy of the GNU General Public License
14
# along with this program.  If not, see .
15
 
16
# Stress test the lookup of declarations
17
 
18
if $tracelevel then {
19
    strace $tracelevel
20
}
21
 
22
set prms_id 0
23
set bug_id 0
24
 
25
set testfile nsdecl
26
set srcfile ${testfile}.cc
27
set binfile ${objdir}/${subdir}/${testfile}
28
if  { [gdb_compile "${srcdir}/${subdir}/${srcfile}" "${binfile}" executable {debug c++}] != "" } {
29
    untested "Couldn't compile test program"
30
    return -1
31
}
32
 
33
if [get_compiler_info ${binfile}] {
34
    return -1;
35
}
36
 
37
# Get things started.
38
 
39
gdb_exit
40
gdb_start
41
gdb_reinitialize_dir $srcdir/$subdir
42
gdb_load ${binfile}
43
 
44
if ![runto_main] then {
45
    perror "couldn't run to breakpoint main"
46
    continue
47
}
48
 
49
############################################
50
# Test that the search can fail efficiently
51
 
52
gdb_test "print fakex" "No symbol \"fakex\" in current context."

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.