OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [gnu-src/] [gcc-4.5.1/] [libstdc++-v3/] [testsuite/] [22_locale/] [numpunct/] [members/] [char/] [1.cc] - Blame information for rev 424

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 424 jeremybenn
// 2001-01-17 Benjamin Kosnik  <bkoz@redhat.com>
2
 
3
// Copyright (C) 2001, 2002, 2003, 2004, 2009 Free Software Foundation
4
//
5
// This file is part of the GNU ISO C++ Library.  This library is free
6
// software; you can redistribute it and/or modify it under the
7
// terms of the GNU General Public License as published by the
8
// Free Software Foundation; either version 3, or (at your option)
9
// any later version.
10
 
11
// This library is distributed in the hope that it will be useful,
12
// but WITHOUT ANY WARRANTY; without even the implied warranty of
13
// MERCHANTABILITY or FITNESS FOR A PARTICULAR PURPOSE.  See the
14
// GNU General Public License for more details.
15
 
16
// You should have received a copy of the GNU General Public License along
17
// with this library; see the file COPYING3.  If not see
18
// <http://www.gnu.org/licenses/>.
19
 
20
// 22.2.3.1.1 nunpunct members
21
 
22
#include <locale>
23
#include <testsuite_hooks.h>
24
 
25
void test01()
26
{
27
  using namespace std;
28
 
29
  bool test __attribute__((unused)) = true;
30
 
31
  // basic construction
32
  locale loc_c = locale::classic();
33
 
34
  // cache the numpunct facets
35
  const numpunct<char>& nump_c = use_facet<numpunct<char> >(loc_c);
36
 
37
  // sanity check the data is correct.
38
  char dp1 = nump_c.decimal_point();
39
  char th1 = nump_c.thousands_sep();
40
  string g1 = nump_c.grouping();
41
  string t1 = nump_c.truename();
42
  string f1 = nump_c.falsename();
43
  VERIFY ( dp1 == '.' );
44
  VERIFY ( th1 == ',' );
45
  VERIFY ( g1 == "" );
46
  VERIFY ( t1 == "true" );
47
  VERIFY ( f1 == "false" );
48
}
49
 
50
int main()
51
{
52
  test01();
53
  return 0;
54
}

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.