OpenCores
URL https://opencores.org/ocsvn/openrisc_me/openrisc_me/trunk

Subversion Repositories openrisc_me

[/] [openrisc/] [trunk/] [gnu-src/] [newlib-1.18.0/] [newlib/] [libc/] [sys/] [sun4/] [sys/] [termios.h] - Blame information for rev 207

Details | Compare with Previous | View Log

Line No. Rev Author Line
1 207 jeremybenn
/* FIXME: From sys/sysvi386/sys */
2
#ifndef _SYS_TERMIOS_H
3
# define _SYS_TERMIOS_H
4
 
5
# define _XCGETA (('x'<<8)|1)
6
# define _XCSETA (('x'<<8)|2)
7
# define _XCSETAW (('x'<<8)|3)
8
# define _XCSETAF (('x'<<8)|4)
9
# define _TCSBRK (('T'<<8)|5)
10
# define _TCFLSH (('T'<<8)|7)
11
# define _TCXONC (('T'<<8)|6)
12
 
13
# define TCOOFF 0
14
# define TCOON  1
15
# define TCIOFF 2
16
# define TCION  3
17
 
18
# define TCIFLUSH       0
19
# define TCOFLUSH       1
20
# define TCIOFLUSH      2
21
 
22
# define NCCS 13
23
 
24
# define TCSAFLUSH      _XCSETAF
25
# define TCSANOW        _XCSETA
26
# define TCSADRAIN      _XCSETAW
27
# define TCSADFLUSH     _XCSETAF
28
 
29
# define IGNBRK 000001
30
# define BRKINT 000002
31
# define IGNPAR 000004
32
# define INPCK  000020
33
# define ISTRIP 000040
34
# define INLCR  000100
35
# define IGNCR  000200
36
# define ICRNL  000400
37
# define IXON   002000
38
# define IXOFF  010000
39
 
40
# define OPOST  000001
41
# define OCRNL  000004
42
# define ONLCR  000010
43
# define ONOCR  000020
44
# define TAB3   014000
45
 
46
# define CLOCAL 004000
47
# define CREAD  000200
48
# define CSIZE  000060
49
# define CS5    0
50
# define CS6    020
51
# define CS7    040
52
# define CS8    060
53
# define CSTOPB 000100
54
# define HUPCL  002000
55
# define PARENB 000400
56
# define PAODD  001000
57
 
58
# define ECHO   0000010
59
# define ECHOE  0000020
60
# define ECHOK  0000040
61
# define ECHONL 0000100
62
# define ICANON 0000002
63
# define IEXTEN 0000400 /* anybody know *what* this does?! */
64
# define ISIG   0000001
65
# define NOFLSH 0000200
66
# define TOSTOP 0001000
67
 
68
# define VEOF   4       /* also VMIN -- thanks, AT&T */
69
# define VEOL   5       /* also VTIME -- thanks again */
70
# define VERASE 2
71
# define VINTR  0
72
# define VKILL  3
73
# define VMIN   4       /* also VEOF */
74
# define VQUIT  1
75
# define VSUSP  10
76
# define VTIME  5       /* also VEOL */
77
# define VSTART 11
78
# define VSTOP  12
79
 
80
# define B0     000000
81
# define B50    000001
82
# define B75    000002
83
# define B110   000003
84
# define B134   000004
85
# define B150   000005
86
# define B200   000006
87
# define B300   000007
88
# define B600   000010
89
# define B1200  000011
90
# define B1800  000012
91
# define B2400  000013
92
# define B4800  000014
93
# define B9600  000015
94
# define B19200 000016
95
# define B38400 000017
96
 
97
typedef unsigned char cc_t;
98
typedef unsigned short tcflag_t;
99
typedef char speed_t;
100
 
101
struct termios {
102
        tcflag_t        c_iflag;
103
        tcflag_t        c_oflag;
104
        tcflag_t        c_cflag;
105
        tcflag_t        c_lflag;
106
        char            c_line;
107
        cc_t            c_cc[NCCS];
108
        speed_t         c_ispeed;
109
        speed_t         c_ospeed;
110
};
111
 
112
# ifndef _NO_MACROS
113
 
114
#  define cfgetospeed(tp)       ((tp)->c_ospeed)
115
#  define cfgetispeed(tp)       ((tp)->c_ispeed)
116
#  define cfsetospeed(tp,s)     (((tp)->c_ospeed = (s)), 0)
117
#  define cfsetispeed(tp,s)     (((tp)->c_ispeed = (s)), 0)
118
#  define tcdrain(fd)           _ioctl (fd, _TCSBRK, 1)
119
# endif /* _NO_MACROS */
120
 
121
#endif  /* _SYS_TERMIOS_H */
122
 

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.