OpenCores
URL https://opencores.org/ocsvn/openrisc/openrisc/trunk

Subversion Repositories openrisc

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_freeze.v] - Blame information for rev 141

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  OR1200's Freeze logic                                       ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generates all freezes and stalls inside RISC                ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46 141 marcus.erl
// $Log: or1200_freeze.v,v $
47
// Revision 2.0  2010/06/30 11:00:00  ORSoC
48
// Minor update: 
49
// Bugs fixed. 
50
//
51
// Revision 1.8  2004/06/08 18:17:36  lampret
52
// Non-functional changes. Coding style fixes.
53
//
54 10 unneback
// Revision 1.7  2004/04/05 08:29:57  lampret
55
// Merged branch_qmem into main tree.
56
//
57
// Revision 1.6.4.2  2003/12/05 00:09:49  lampret
58
// No functional change.
59
//
60
// Revision 1.6.4.1  2003/07/08 15:36:37  lampret
61
// Added embedded memory QMEM.
62
//
63
// Revision 1.6  2002/07/31 02:04:35  lampret
64
// MAC now follows software convention (signed multiply instead of unsigned).
65
//
66
// Revision 1.5  2002/07/14 22:17:17  lampret
67
// Added simple trace buffer [only for Xilinx Virtex target]. Fixed instruction fetch abort when new exception is recognized.
68
//
69
// Revision 1.4  2002/03/29 15:16:55  lampret
70
// Some of the warnings fixed.
71
//
72
// Revision 1.3  2002/01/28 01:16:00  lampret
73
// Changed 'void' nop-ops instead of insn[0] to use insn[16]. Debug unit stalls the tick timer. Prepared new flag generation for add and and insns. Blocked DC/IC while they are turned off. Fixed I/D MMU SPRs layout except WAYs. TODO: smart IC invalidate, l.j 2 and TLB ways.
74
//
75
// Revision 1.2  2002/01/14 06:18:22  lampret
76
// Fixed mem2reg bug in FAST implementation. Updated debug unit to work with new genpc/if.
77
//
78
// Revision 1.1  2002/01/03 08:16:15  lampret
79
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
80
//
81
// Revision 1.10  2001/11/13 10:02:21  lampret
82
// Added 'setpc'. Renamed some signals (except_flushpipe into flushpipe etc)
83
//
84
// Revision 1.9  2001/10/21 17:57:16  lampret
85
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
86
//
87
// Revision 1.8  2001/10/19 23:28:46  lampret
88
// Fixed some synthesis warnings. Configured with caches and MMUs.
89
//
90
// Revision 1.7  2001/10/14 13:12:09  lampret
91
// MP3 version.
92
//
93
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
94
// no message
95
//
96
// Revision 1.2  2001/08/09 13:39:33  lampret
97
// Major clean-up.
98
//
99
// Revision 1.1  2001/07/20 00:46:03  lampret
100
// Development version of RTL. Libraries are missing.
101
//
102
//
103
 
104
// synopsys translate_off
105
`include "timescale.v"
106
// synopsys translate_on
107
`include "or1200_defines.v"
108
 
109
`define OR1200_NO_FREEZE        3'd0
110
`define OR1200_FREEZE_BYDC      3'd1
111
`define OR1200_FREEZE_BYMULTICYCLE      3'd2
112
`define OR1200_WAIT_LSU_TO_FINISH       3'd3
113
`define OR1200_WAIT_IC                  3'd4
114
 
115
//
116
// Freeze logic (stalls CPU pipeline, ifetcher etc.)
117
//
118
module or1200_freeze(
119
        // Clock and reset
120
        clk, rst,
121
 
122
        // Internal i/f
123
        multicycle, flushpipe, extend_flush, lsu_stall, if_stall,
124
        lsu_unstall, du_stall, mac_stall,
125
        force_dslot_fetch, abort_ex,
126 141 marcus.erl
        genpc_freeze, if_freeze, id_freeze, ex_freeze, wb_freeze, saving_if_insn,
127 10 unneback
        icpu_ack_i, icpu_err_i
128
);
129
 
130
//
131
// I/O
132
//
133
input                           clk;
134
input                           rst;
135
input   [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle;
136
input                           flushpipe;
137
input                           extend_flush;
138
input                           lsu_stall;
139
input                           if_stall;
140
input                           lsu_unstall;
141
input                           force_dslot_fetch;
142
input                           abort_ex;
143
input                           du_stall;
144
input                           mac_stall;
145
output                          genpc_freeze;
146
output                          if_freeze;
147
output                          id_freeze;
148
output                          ex_freeze;
149
output                          wb_freeze;
150 141 marcus.erl
input               saving_if_insn;
151 10 unneback
input                           icpu_ack_i;
152
input                           icpu_err_i;
153
 
154
//
155
// Internal wires and regs
156
//
157
wire                            multicycle_freeze;
158
reg     [`OR1200_MULTICYCLE_WIDTH-1:0]   multicycle_cnt;
159
reg                             flushpipe_r;
160
 
161
//
162
// Pipeline freeze
163
//
164
// Rules how to create freeze signals:
165
// 1. Not overwriting pipeline stages:
166
// Freze signals at the beginning of pipeline (such as if_freeze) can be asserted more
167
// often than freeze signals at the of pipeline (such as wb_freeze). In other words, wb_freeze must never
168
// be asserted when ex_freeze is not. ex_freeze must never be asserted when id_freeze is not etc.
169
//
170
// 2. Inserting NOPs in the middle of pipeline only if supported:
171
// At this time, only ex_freeze (and wb_freeze) can be deassrted when id_freeze (and if_freeze) are asserted.
172
// This way NOP is asserted from stage ID into EX stage.
173
//
174
//assign genpc_freeze = du_stall | flushpipe_r | lsu_stall;
175 141 marcus.erl
//assign genpc_freeze = du_stall | flushpipe_r;
176
assign genpc_freeze = (du_stall & !saving_if_insn) | flushpipe_r;
177 10 unneback
assign if_freeze = id_freeze | extend_flush;
178
//assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) & ~flushpipe | du_stall;
179
assign id_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze | force_dslot_fetch) | du_stall | mac_stall;
180
assign ex_freeze = wb_freeze;
181
//assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) & ~flushpipe | du_stall | mac_stall;
182
assign wb_freeze = (lsu_stall | (~lsu_unstall & if_stall) | multicycle_freeze) | du_stall | mac_stall | abort_ex;
183
 
184
//
185
// registered flushpipe
186
//
187
always @(posedge clk or posedge rst)
188
        if (rst)
189
                flushpipe_r <= #1 1'b0;
190
        else if (icpu_ack_i | icpu_err_i)
191
//      else if (!if_stall)
192
                flushpipe_r <= #1 flushpipe;
193
        else if (!flushpipe)
194
                flushpipe_r <= #1 1'b0;
195
 
196
//
197
// Multicycle freeze
198
//
199
assign multicycle_freeze = |multicycle_cnt;
200
 
201
//
202
// Multicycle counter
203
//
204
always @(posedge clk or posedge rst)
205
        if (rst)
206
                multicycle_cnt <= #1 2'b00;
207
        else if (|multicycle_cnt)
208
                multicycle_cnt <= #1 multicycle_cnt - 2'd1;
209
        else if (|multicycle & !ex_freeze)
210
                multicycle_cnt <= #1 multicycle;
211
 
212
endmodule

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.