OpenCores
URL https://opencores.org/ocsvn/openrisc_2011-10-31/openrisc_2011-10-31/trunk

Subversion Repositories openrisc_2011-10-31

[/] [openrisc/] [trunk/] [or1200/] [rtl/] [verilog/] [or1200_gmultp2_32x32.v] - Blame information for rev 141

Go to most recent revision | Details | Compare with Previous | View Log

Line No. Rev Author Line
1 10 unneback
//////////////////////////////////////////////////////////////////////
2
////                                                              ////
3
////  Generic 32x32 multiplier                                    ////
4
////                                                              ////
5
////  This file is part of the OpenRISC 1200 project              ////
6
////  http://www.opencores.org/cores/or1k/                        ////
7
////                                                              ////
8
////  Description                                                 ////
9
////  Generic 32x32 multiplier with pipeline stages.              ////
10
////                                                              ////
11
////  To Do:                                                      ////
12
////   - make it smaller and faster                               ////
13
////                                                              ////
14
////  Author(s):                                                  ////
15
////      - Damjan Lampret, lampret@opencores.org                 ////
16
////                                                              ////
17
//////////////////////////////////////////////////////////////////////
18
////                                                              ////
19
//// Copyright (C) 2000 Authors and OPENCORES.ORG                 ////
20
////                                                              ////
21
//// This source file may be used and distributed without         ////
22
//// restriction provided that this copyright statement is not    ////
23
//// removed from the file and that any derivative work contains  ////
24
//// the original copyright notice and the associated disclaimer. ////
25
////                                                              ////
26
//// This source file is free software; you can redistribute it   ////
27
//// and/or modify it under the terms of the GNU Lesser General   ////
28
//// Public License as published by the Free Software Foundation; ////
29
//// either version 2.1 of the License, or (at your option) any   ////
30
//// later version.                                               ////
31
////                                                              ////
32
//// This source is distributed in the hope that it will be       ////
33
//// useful, but WITHOUT ANY WARRANTY; without even the implied   ////
34
//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR      ////
35
//// PURPOSE.  See the GNU Lesser General Public License for more ////
36
//// details.                                                     ////
37
////                                                              ////
38
//// You should have received a copy of the GNU Lesser General    ////
39
//// Public License along with this source; if not, download it   ////
40
//// from http://www.opencores.org/lgpl.shtml                     ////
41
////                                                              ////
42
//////////////////////////////////////////////////////////////////////
43
//
44
// CVS Revision History
45
//
46 141 marcus.erl
// $Log: or1200_gmultp2_32x32.v,v $
47
// Revision 2.0  2010/06/30 11:00:00  ORSoC
48
// No update 
49
//
50
// Revision 1.2  2002/07/31 02:04:35  lampret
51
// MAC now follows software convention (signed multiply instead of unsigned).
52
//
53 10 unneback
// Revision 1.1  2002/01/03 08:16:15  lampret
54
// New prefixes for RTL files, prefixed module names. Updated cache controllers and MMUs.
55
//
56
// Revision 1.4  2001/12/04 05:02:35  lampret
57
// Added OR1200_GENERIC_MULTP2_32X32 and OR1200_ASIC_MULTP2_32X32
58
//
59
// Revision 1.3  2001/10/21 17:57:16  lampret
60
// Removed params from generic_XX.v. Added translate_off/on in sprs.v and id.v. Removed spr_addr from dc.v and ic.v. Fixed CR+LF.
61
//
62
// Revision 1.2  2001/10/14 13:12:09  lampret
63
// MP3 version.
64
//
65
// Revision 1.1.1.1  2001/10/06 10:18:36  igorm
66
// no message
67
//
68
// Revision 1.2  2001/08/09 13:39:33  lampret
69
// Major clean-up.
70
//
71
// Revision 1.1  2001/07/20 00:46:03  lampret
72
// Development version of RTL. Libraries are missing.
73
//
74
//
75
 
76
// synopsys translate_off
77
`include "timescale.v"
78
// synopsys translate_on
79
`include "or1200_defines.v"
80
 
81
// 32x32 multiplier, no input/output registers
82
// Registers inside Wallace trees every 8 full adder levels,
83
// with first pipeline after level 4
84
 
85
`ifdef OR1200_GENERIC_MULTP2_32X32
86
 
87
`define OR1200_W 32
88
`define OR1200_WW 64
89
 
90
module or1200_gmultp2_32x32 ( X, Y, CLK, RST, P );
91
 
92
input   [`OR1200_W-1:0]  X;
93
input   [`OR1200_W-1:0]  Y;
94
input           CLK;
95
input           RST;
96
output  [`OR1200_WW-1:0]  P;
97
 
98
reg     [`OR1200_WW-1:0]  p0;
99
reg     [`OR1200_WW-1:0]  p1;
100
integer                   xi;
101
integer                   yi;
102
 
103
//
104
// Conversion unsigned to signed
105
//
106 141 marcus.erl
 /* verilator lint_off COMBDLY */
107 10 unneback
always @(X)
108
        xi <= X;
109
 
110
//
111
// Conversion unsigned to signed
112
//
113
always @(Y)
114
        yi <= Y;
115 141 marcus.erl
 /* verilator lint_on COMBDLY */
116 10 unneback
//
117
// First multiply stage
118
//
119
always @(posedge CLK or posedge RST)
120
        if (RST)
121
                p0 <= `OR1200_WW'b0;
122
        else
123
                p0 <= #1 xi * yi;
124
 
125
//
126
// Second multiply stage
127
//
128
always @(posedge CLK or posedge RST)
129
        if (RST)
130
                p1 <= `OR1200_WW'b0;
131
        else
132
                p1 <= #1 p0;
133
 
134
assign P = p1;
135
 
136
endmodule
137
 
138
`endif

powered by: WebSVN 2.1.0

© copyright 1999-2024 OpenCores.org, equivalent to Oliscience, all rights reserved. OpenCores®, registered trademark.